2003-11-20
Context-Free Fuzzy Languages
1-11
Information and Control
September
1974
26
1
http://theory.lcs.mit.edu/~iandc/ic74.html
2006-04-25
A Generalization of the Notions of a Machine and Computability
166-176
Information and Control
February
1977
33
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc33.html#Zakowski77
2006-04-25
General Formulation of Sequential Machines
5-10
Information and Control
January
1968
12
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#SantosW68
2006-04-25
Some Remarks on Derivations in General Rewriting Systems
27-54
Information and Control
January
1968
12
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#Griffiths68
2006-04-25
Output-Sensitive Methods for Rectilinear Hidden Surface Removal
1-24
Inf. Comput.
November
1993
107
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc107.html#GoodrichAO93
2006-04-25
On Permutation-Reset Automata
86-95
Information and Control
January
1976
30
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc30.html#Dilger76
2006-04-25
Stable States of Probabilisti Cellular Automata
93-106
Information and Control
June
1977
34
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc34.html#Dawson77
2003-11-20
How to use several noisy channels with unknown error probabilities.
229-241
2003
184
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00063-4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc184.html#MitinaV03
2006-04-25
An Essay in Combinatory Dynamic Logic
263-332
Inf. Comput.
August
1991
93
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc93.html#PassayT91
2006-04-25
File Organization: Consecutive Storage of Relevant Records on Drum-Type Storage
145-165
Information and Control
June
1974
25
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc25.html#Ghosh74
2006-04-25
The Applications of Some Basic Inequalities for Entropy
199-213
Information and Control
September
1959
2
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc2.html#Hartmanis59
2006-04-25
Symbolic Analysis of a Decomposition of Information Processing Machines
154-178
Information and Control
June
1960
3
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc3.html#Hartmanis60
2006-04-25
Loop-Free Structure of Sequential Machines
25-43
Information and Control
March
1962
5
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc5.html#Hartmanis62
2006-04-25
Generalizations of the BCH Bound
489-498
Information and Control
June
1972
20
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc20.html#HartmannT72
2006-04-25
Preface
93
Inf. Comput.
February/March
1988
76
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc76.html#Plotkin88
2006-04-25
A Semantics for Static Type Inference
256-299
Inf. Comput.
February/March
1994
109
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc109.html#Plotkin94
2003-11-20
On a Question of H. Friedman.
74-77
1996
126
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc126.html#Plotkin96
2003-11-20
Some Computational Problems of Cryptographic Significance Concerning Elliptic Curves over Rings.
92-99
1999
151
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc151.html#HuangX99
2006-04-25
Uniform Characterizations of Non-Uniform Complexity Measures
53-69
Information and Control
October/November/December
1985
67
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc67.html#BalcazarDG85
2003-11-20
Visual Cryptography for General Access Structures.
86-106
1996
129
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc129.html#AtenieseBSS96
2003-11-20
Intersection and Singleton Type Assignment Characterizing Finite Böhm-Trees.
1-11
2002
178
Inf. Comput.
1
http://dx.doi.org/10.1006/inco.2002.2907
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc178.html#Kurata02
2006-04-25
Semantics for Classical AUTOMATH and Related Systems
127-147
Information and Control
October/November/December
1983
59
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc59.html#BarendregtR83
2006-04-25
On Numerical Methods of Calculating the Capacity of Continuous-Input Discrete-Output Memoryless Channels
1-13
Inf. Comput.
May
1990
86
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc86.html#ChangFD90
2006-04-25
The Identification of a Set by Successive Intersections
20-35
Information and Control
January
1974
24
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc24.html#GillG74
2006-04-25
Generalized Superposition
528-536
Information and Control
November-December
1967
11
5/6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#Oppenheim67
2003-11-20
Complexity and Expressive Power of Deterministic Semantics for DATALOG¬.
81-98
1999
153
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc153.html#GrecoS99
2003-11-20
Degrees of Dowd-Type Generic Oracles.
66-87
2002
176
Inf. Comput.
1
http://dx.doi.org/10.1006/inco.2002.3149
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc176.html#Suzuki02
2006-04-25
Sets Accepted by One-Way Stack Automata Are Context Sensitive
114-133
Information and Control
August
1968
13
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc13.html#HopcroftU68
2006-04-25
Singleton, Union and Intersection Types for Program Extraction
174-210
Inf. Comput.
February/March
1994
109
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc109.html#Hayashi94
2006-04-25
Optimization of Information Storage Systems
509-526
Information and Control
December
1968
13
6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc13.html#ChandyR68
2006-04-25
On Channels and Codes for the Lee Metric
159-173
Information and Control
September
1971
19
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc19.html#ChiangW71
2003-11-20
Decision Trees: Old and New Results.
44-61
1999
152
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc152.html#Fleischer99
2006-04-25
Uniform Self-Stabilizing Ring Orientation
175-196
Inf. Comput.
June
1993
104
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc104.html#IsraeliJ93
2006-04-25
Some Problems of Finite Representability
251-263
Information and Control
June
1965
8
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc8.html#AltmanB65
2003-11-20
Logarithm cartesian authentication codes.
93-108
2003
184
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00053-1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc184.html#SzeCDHP03
2006-04-25
Some Properties of Fuzzy Sets of Type 2
312-340
Information and Control
August
1976
31
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#MizumotoT76
2006-04-25
Fuzzy Sets and Their Operations
30-48
Information and Control
January
1981
48
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc48.html#MizumotoT81
2006-04-25
Solving Tree Problems on a Mesh-Connected Processor Array
168-187
Information and Control
April/May/June
1986
69
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc69.html#AtallahH86
2003-11-20
A Class of Low-Rate Nonlinear Binary Codes
182-187
Information and Control
March
1972
20
2
http://theory.lcs.mit.edu/~iandc/ic72.html
2003-11-20
Erratum: ``A Class of Low-Rate Nonlinear Binary Codes''
395
Information and Control
November
1972
21
4
http://theory.lcs.mit.edu/~iandc/ic72.html
2004-05-14
An Extension of Fixpoint Logic with a Symmetry-Based Choice Construct.
40-65
1998
144
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc144.html#GireH98
2006-04-25
Characteristic Formulae for Processes with Divergence
149-163
Inf. Comput.
April
1994
110
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc110.html#SteffenI94
2003-11-20
Real Number Computability and Domain Theory.
11-25
1996
127
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc127.html#Gianantonio96
2006-04-25
Efficient Checkers for Number-Theoretic Computations
93-102
Inf. Comput.
August
1995
121
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc121.html#AdlemanHK95
2006-04-25
A Note on the Strong Converse of the Coding Theorem for the General Discrete Finite-Memory Channel
89-93
Information and Control
March
1960
3
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc3.html#Wolfowitz60
2006-04-25
On Channels without a Capacity
49-54
Information and Control
March
1963
6
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc6.html#Wolfowitz63
2006-04-25
Memory Increases Capacity
423-428
Information and Control
October
1967
11
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#Wolfowitz67
2006-04-25
Notes on a General Strong Converse
1-4
Information and Control
January
1968
12
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#Wolfowitz68
2006-04-25
Fringe Analysis for Extquick: An in Situ Distributive External Sorting Algorithm
141-160
Inf. Comput.
June
1991
92
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc92.html#CuntoGMP91
2006-04-25
Classes of Languages and Linear-Bounded Automata
207-223
Information and Control
June
1964
7
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc7.html#Kuroda64
2006-04-25
A Topological Study of Phrase-Structure Languages
307-379
Information and Control
April
1976
30
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc30.html#Kuroda76
2003-11-20
Efficient Learning of Typical Finite Automata from Random Walks.
23-48
1997
138
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc138.html#FreundKRRSS97
2003-11-20
Weight and Distance Structure of Nordstrom-Robinson Quadratic Code
466-473
Information and Control
May-June
1968
12
5/6
http://theory.lcs.mit.edu/~iandc/ic68.html
2003-11-20
Erratum, ``Weight and Distance Structure of Nordstrom-Robinson Quadratic Code''
172
Information and Control
August
1968
13
2
http://theory.lcs.mit.edu/~iandc/ic68.html
2003-11-20
First-Order Logic with Two Variables and Unary Temporal Logic.
279-295
2002
179
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2001.2953
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc179.html#EtessamiVW02
2006-04-25
Practical Decomposition of Automata
275-291
Information and Control
March
1978
36
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc36.html#Nozaki78
2006-04-25
Szilard Languages of IO-Grammars
319-331
Information and Control
March
1979
40
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc40.html#DuskePS79
2003-11-20
Overlap matching.
57-74
2003
181
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(02)00035-4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc181.html#AmirCHLP03
2006-04-25
Note on the Boolean Properties of Context Free Languages
372-375
Information and Control
December
1960
3
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc3.html#Scheinberg60
2006-04-25
Letter Recognition and the Segmentation of Running Text
246-264
Information and Control
June
1966
9
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc9.html#ClaydenCP66
2006-04-21
Static Analysis for the pi-Calculus with Applications to Security.
68-92
2001
168
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc168.html#BodeiDNN01
2006-04-25
Approximate Inference and Scientific Method
179-191
Inf. Comput.
November
1994
114
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc114.html#FulkJ94
2006-04-25
Membership Problems for Regular and Context-Free Trace Languages
135-150
Inf. Comput.
August
1989
82
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc82.html#BertoniMS89
2006-04-25
Best Exponential Upper Bounds to Error Probability Obtainable by Random Coding for Binary Symmetric Channels
261-279
Information and Control
October
1972
21
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc21.html#KamboS72
2006-04-25
Highly Parallel Computations Modulo a Number Having Only Small Prime Factors
95-114
Inf. Comput.
January
1992
96
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc96.html#Zeugmann92
2006-04-25
Some Characterizations of Lindenmayer Systems in Terms of Chomsky-type Grammars and Stack Machines
37-60
Information and Control
January
1975
27
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc27.html#Savitch75
2006-04-25
A Diagnosing Algorithm for Networks
141-148
Information and Control
October
1975
29
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc29.html#Kameda75
2006-04-21
An Algebra of Discrete Channels That Involve Combinations of Three Basic Error Types.
120-131
2001
167
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc167.html#Konstantinidis01
2006-04-25
A Theory of Communicating Processes with Value Passing
202-236
Inf. Comput.
December
1993
107
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc107.html#HennessyI93
2003-11-20
Guest Editorial.
151
2002
179
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2002.2959
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc179.html#Winskel02
2006-04-25
Petri Nets, Algebras, Morphisms, and Compositionality
197-238
Inf. Comput.
March
1987
72
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc72.html#Winskel87
2006-04-25
A Compositional Proof System on a Category of Labelled Transition Systems
2-57
Inf. Comput.
July/August
1990
87
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc87.html#Winskel90
2003-11-20
Resource Access Control in Systems of Mobile Agents.
82-120
2002
173
Inf. Comput.
1
http://www.idealibrary.com/links/doi/10.1006/inco.2001.3089
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc173.html#HennessyR02
2006-04-25
A Process Algebra for Timed Systems
221-239
Inf. Comput.
March
1995
117
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc117.html#HennessyR95
2006-04-25
The Power of the Future Perfect in Program Logics
23-52
Information and Control
October/November/December
1985
67
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc67.html#HennessyS85
2006-04-25
On the Starheight of Some Rational Subsets Closed under Partial Commutations
61-82
Inf. Comput.
September
1993
106
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc106.html#Choffrut93
2006-04-25
Fuzzy Sets and Their Operations, II
160-174
Information and Control
August
1981
50
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc50.html#Mizumoto81
2006-04-25
On the Inference of Stochastic Regular Grammars
310-329
Information and Control
September
1978
38
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc38.html#MudeW78
2006-04-25
Loop-Free Compositions of Certain Finite Automata. II
231-257
Information and Control
July
1976
31
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#Kjeldsen76
2006-04-25
Characterization of the Decomposable Groups and Group Functions
107-122
Information and Control
June
1977
34
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc34.html#Kjeldsen77
2003-11-20
Preface.
1
2000
159
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc159.html#Nipkow00
2006-04-25
An Algorithm for Covering Polygons with Rectangles
164-189
Information and Control
December
1984
63
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc63.html#FranzblauK84
2006-04-25
Split and ST Bisimulation Semantics
272-288
Inf. Comput.
May
1995
118
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc118.html#GorrieriL95
2006-04-25
Optimum Boundary Control of Distributed Parameter Systems
265-278
Information and Control
June
1966
9
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc9.html#ErzbergerK66
2006-04-25
The Distributed Bit Complexity of the Ring: From the Anonymous to the Non-anonymous Case
34-50
Inf. Comput.
January
1994
108
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc108.html#BodlaenderMW94
2006-04-25
A Semantics for Static Type Inference in a Nondeterministic Language
300-306
Inf. Comput.
February/March
1994
109
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc109.html#Abadi94
2006-04-25
Finite Memory Algorithms for Testing Bernoulli Random Variables
11-19
Information and Control
January
1974
24
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc24.html#Hirschler74
2006-04-25
Feedback and Generalized Logic
75-96
Information and Control
May
1976
31
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#Bainbridge76
2006-04-25
A Single Recursive Predicate is Sufficient for Pure Datalog
91-97
Inf. Comput.
February
1995
117
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc117.html#Shmueli95
2006-04-25
An Optimum Nonlinear Code
613-616
Information and Control
November-December
1967
11
5/6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#NordstromR67
2006-04-25
Relativized Perfect Zero Knowledge Is Not BPP
223-240
Inf. Comput.
August
1991
93
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc93.html#AielloH91
2003-11-20
On the Complexity of Verifying Concurrent Transition Systems.
143-161
2002
173
Inf. Comput.
2
http://www.idealibrary.com/links/doi/10.1006/inco.2001.2920
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc173.html#HarelKV02
2003-11-20
A Decomposition Theorem for Domains.
62-67
1996
124
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc124.html#Haack96
2006-04-25
Programs for Instruction Machines
9-28
Information and Control
April
1979
41
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc41.html#PawlakRS79
2006-04-25
On the Number of Sides Necessary for Polygonal Approximation of Black-and-White Figures in the Plane
169-186
Information and Control
February
1976
30
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc30.html#EllisE76
2003-11-20
Verifiable Properties of Database Transactions.
57-88
1998
147
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc147.html#BenediktGL98
2006-04-25
On the Number of Affine Families of Boolean Functions
327-337
Information and Control
December
1979
43
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc43.html#KrishnamurthyM79
2003-11-20
A Note on Error Detection Codes for Asymmetric Channels
68-73
Information and Control
March
1961
4
1
http://theory.lcs.mit.edu/~iandc/ic61.html
2003-11-20
A Note on Burst Detecting Sum Codes
297-299
Information and Control
September
1961
4
2-3
http://theory.lcs.mit.edu/~iandc/ic61.html
2006-04-25
Statistical Estimation of the Intrinsic Dimensionality of Data Collections
508-525
Information and Control
May-June
1968
12
5/6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#Trunk68
2006-04-25
Non Deterministic Extensions of Untyped Lambda-Calculus.
149-177
1995
122
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc122.html#deLiguoroP95
2006-04-25
Parallel Approximation Algorithms for Bin Packing
262-277
Inf. Comput.
September
1989
82
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc82.html#AndersonMW89
2006-04-25
A Class of Estimators for Optimum Adaptive Detection
137-148
Information and Control
February
1967
10
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc10.html#Esposito67
2006-04-25
On a Relation between Detection and Estimation in Decision Theory
116-120
Information and Control
February
1968
12
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#Esposito68
2006-04-25
Decision Theoretic Generalizations of the PAC Model for Neural Net and Other Learning Applications
78-150
Inf. Comput.
September
1992
100
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc100.html#Haussler92
2003-11-20
Monadic Second-Order Logic Over Rectangular Pictures and Recognizability by Tiling Systems.
32-45
1996
125
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc125.html#GiammarresiRST96
2006-04-25
A Note on a Decomposition Theorem for Simple Deterministic Languages
272-274
Information and Control
October
1971
19
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc19.html#Fusaoka71
2006-04-25
Comment on an Algorithm that Generates Fuzzy Prime Implicants by Lee and Chang
279-282
Information and Control
April
1973
22
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc22.html#Kandel73
2006-04-25
Comments on Comments by Lee-Author's Reply
109-113
Information and Control
October
1977
35
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc35.html#Kandel77
2003-11-20
On Interval Routing Schemes and Treewidth.
92-109
1997
139
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc139.html#BodlaenderLTT97
2006-04-25
Sampling Series Approximation of Continuous Weak Sense Stationary Processes
228-241
Information and Control
September
1981
50
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc50.html#Splettstosser81
2003-11-20
A Theory of Primitive Objects: Untyped and First-Order Systems.
78-102
1996
125
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc125.html#AbadiC96
2003-11-20
A Calculus for Cryptographic Protocols: The spi Calculus.
1-70
1999
148
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc148.html#AbadiG99
2006-04-25
Decidability and Expressiveness for First-Order Logics of Probability
1-36
Inf. Comput.
July
1994
112
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc112.html#AbadiH94
2006-04-25
A Partial Approach to Model Checking
305-326
Inf. Comput.
May
1994
110
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc110.html#GodefroidW94
2006-04-25
A Characterisation of Lambda Definable Tree Operations
35-46
Inf. Comput.
November
1990
89
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc89.html#Zaionc90
2006-04-25
Adaptive Computers
402-422
Information and Control
October
1967
11
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#Breuer67
2006-04-25
A Model for Interaction of Two Renewal Processes with Threshold Level
1-10
Information and Control
January
1974
24
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc24.html#NakagawaO74
2003-11-20
A spatial logic for concurrency (part I).
194-235
2003
186
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00137-8
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc186.html#CairesC03
2006-04-25
A Note on some Simultaneous Relations among Time, Space, and Reversal for Single Work Tape Nondeterministic Turing Machines
179-185
Information and Control
August/September
1986
70
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc70.html#MoriyaIK86
2006-04-25
On Constructing Group Codes
1-14
Information and Control
March
1961
4
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc4.html#Banerji61
2006-04-25
Phrase Structure Languages, Finite Machines, and Channel Capacity
153-162
Information and Control
June
1963
6
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc6.html#Banerji63
2006-04-25
On an Alternative Representation for a Wide Class of Binary Relations
385-393
Information and Control
August
1976
31
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#Banerji76
2003-11-20
A Relational Account of Call-by-Value Sequentiality.
296-331
2002
179
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2002.2957
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc179.html#RieckeS02a
2006-04-25
Completeness Results for Single-Path Petri Nets
253-265
Inf. Comput.
October
1993
106
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc106.html#HowellJR93
2006-04-25
The Automatic Design of Fractional Factorial Experiments for Adaptive Process Optimization
505-527
Information and Control
November-December
1967
11
5/6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#HallR67
2006-04-25
Predicting \0,1\-Functions on Randomly Drawn Points
248-292
Inf. Comput.
December
1994
115
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc115.html#HausslerLW94
2006-04-25
Optimal Parallel Pattern Matching in Strings
91-113
Information and Control
October/November/December
1985
67
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc67.html#Vishkin85
2006-04-25
Oracle branching programs and Logspace versus P
96-115
Inf. Comput.
November
1991
95
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc95.html#BarringtonM91
2006-04-21
Automata-Driven Automated Induction.
1-22
2001
169
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc169.html#BouhoulaJ01
2006-04-25
Recognition Time of Context-Free Languages by On-Line Turing Machines
288-295
Information and Control
September
1969
15
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc15.html#Gallaire69
2006-04-21
Delayed Information and Action in On-Line Algorithms.
135-152
2001
170
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2001.3057
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc170.html#AlbersCM01
2006-04-25
Circuit-Size Lower Bounds and Non-Reducibility to Sparse Sets
40-56
Information and Control
October/November/December
1982
55
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc55.html#Kannan82
2006-04-25
Unraveling k-page graphs
1-5
Information and Control
July/August
1985
66
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc66.html#Kannan85
2006-04-25
Test Sets for Context Free Languages and Algebraic Systems of Equations over a Free Monoid
172-186
Information and Control
February
1982
52
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc52.html#AlbertCK82
2006-04-21
Probabilistic Quorum Systems.
184-206
2001
170
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2001.3054
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc170.html#MalkhiRWW01
2006-04-25
On Trinomials x^n + x^2 + 1 and x^8l\pm3 + x^k + 1 Irreducible over GF(2)
58-63
Information and Control
July
1981
50
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc50.html#FredricksenW81
2006-04-25
A Note on Segmentation of Computer Programs
21-22
Information and Control
January
1968
12
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc12.html#Berztiss68
2006-04-25
Identification of Pattern Languages from Examples and Queries
91-112
Inf. Comput.
August
1987
74
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc74.html#MarronK87
2006-04-25
Measurement, Theory, and Information
275-304
Information and Control
June
1979
41
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc41.html#Cyranski79
2006-04-25
All Nearly Perfect Codes are Known
40-47
Information and Control
September
1977
35
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc35.html#Lindstrom77
2006-04-25
Proposal of a New Coding Pattern in Orchard Scheme
209-215
Information and Control
December
1981
51
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc51.html#Shiozaki81
2006-04-25
A Note on the Impulse-Function Determination of Functional Probability-Density Functions
170-176
Information and Control
May
1958
1
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc1.html#Sponsler58
2006-04-25
A Consistent Extension of the Lambda-Calculus as a Base for Functional Programming Languages
89-101
Information and Control
October/November/December
1982
55
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc55.html#BerklingF82
2006-04-25
Probabilistic Propositional Temporal Logics
97-155
Information and Control
August/September
1986
70
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc70.html#HartS86
2006-04-25
Synchronization of Cyclic Codes in the Presence of Burst Errors
423-441
Information and Control
May
1969
14
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc14.html#TavaresF69
2006-04-25
Synchronization of a Class of Codes Derived from Cyclic Codes
153-166
Information and Control
April
1970
16
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc16.html#TavaresF70
2006-04-25
The Structural Information-Capacity of Optical Instruments
148-152
Information and Control
May
1958
1
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc1.html#MacKay58
2006-04-25
Some Results in the Theory of Arithmetic Codes
246-264
Information and Control
October
1971
19
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc19.html#ChienHP71
2006-04-25
An Exponential Number of Generalized Kerdock Codes
74-80
Information and Control
April/May
1982
53
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc53.html#Kantor82
2006-04-25
An Alternative Method for Continuous Line Segment Curve-Fitting
200-206
Information and Control
June
1964
7
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc7.html#Gluss64
2006-04-25
A Note on the Solution of a Communication Jamming Problem of Root
233-235
Information and Control
April
1965
8
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc8.html#Gluss65
2006-04-25
Improved Dynamic Dictionary Matching
258-282
Inf. Comput.
June
1995
119
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc119.html#AmirFIPS95
2006-04-25
Minimum Comparison Merging of Sets of Approximately Equal Size
87-96
Information and Control
July
1979
42
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc42.html#MurphyP79
2003-11-20
<sup>k</sup>-completeness and NC algorithms.
101-112
2003
180
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(02)00013-5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc180.html#AgostinoS03
2003-11-20
A Worst-Case Analysis of the LZ2 Compression Algorithm.
258-268
1997
139
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc139.html#AgostinoS97
2006-04-25
Entropy of Tamil Prose
297-300
Information and Control
September
1963
6
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc6.html#Siromoney63
2006-04-25
Unambiguous Equal Matrix Languages
1-8
Information and Control
February
1972
20
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc20.html#Siromoney72
2006-04-25
Bivariate Markov Processes of Intervals
231-241
Information and Control
November
1976
32
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#Oakes76
2006-04-25
Cell Lineage System for Describing Growths of Filamentous Organisms
280-301
Information and Control
June
1978
37
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc37.html#Nishio78
2006-04-25
Surfaces in Three-Dimensional Digital Images
227-247
Information and Control
December
1981
51
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc51.html#MorgenthalerR81
2003-11-20
The Bounds of Skolem Functions and Their Applications
149-154
Inf. Comput.
July
1995
120
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc120.html#Tung95a
2003-11-20
Computational Complexity of Arithmetical Sentences
315-325
Inf. Comput.
August
1995
120
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc120.html#Tung95b
2006-04-25
Effectively Given Domains and Lambda-Calculus Models
36-63
Information and Control
July
1984
62
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc62.html#GianniniL84
2006-04-25
A Type Inference Algorithm for a Stratified Polymorphic Type Discipline
115-173
Inf. Comput.
February/March
1994
109
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc109.html#GianniniR94
2006-04-25
Formal Translations and Szilard Languages
187-198
Information and Control
February
1976
30
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc30.html#KriegelM76
2003-11-20
Typed operational semantics for higher-order subtyping.
242-297
2003
184
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00062-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc184.html#CompagnoniG03
2006-04-25
Two Notes on Machine ``Learning''
364-379
Information and Control
December
1959
2
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc2.html#Martens59
2006-04-25
A Note on Almost-Everywhere-Complex Sets and Separating Deterministic-Time-Complexity Classes
97-104
Inf. Comput.
May
1991
92
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc92.html#GeskeHS91
2006-04-25
Language Recognition by Marking Automata
313-330
Information and Control
May
1972
20
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc20.html#RitchieS72
2006-04-25
An Optimizing Method for Embedding Linear Graphs in N-Cube
122-144
Information and Control
September
1970
17
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc17.html#EnomotoI70
2003-11-20
L_p and Orlicz Stability of a Class of Nonlinear Timevarying Feedback Control Systems
114-123
Information and Control
September
1971
19
2
http://theory.lcs.mit.edu/~iandc/ic71.html
2003-11-20
Erratum: ``L_p and Orlicz Stability of a Class of Nonlinear Timevarying Feedback Control Systems''
479
Information and Control
December
1971
19
5
http://theory.lcs.mit.edu/~iandc/ic71.html
2003-11-20
Optimal Control of Stochastic Dynamical Systems
13-30
Information and Control
February
1973
22
1
http://theory.lcs.mit.edu/~iandc/ic73.html
2003-11-20
Strong and Weak Synthesis of Nonlinear Systems with Constraints on the System Space G_lambda
71-85
Information and Control
August
1973
23
1
http://theory.lcs.mit.edu/~iandc/ic73.html
2003-11-20
<sub>p</sub>(1 <= p < infty) Spaces Including Representation
143-150
Information and Control
February
1976
30
2
http://theory.lcs.mit.edu/~iandc/ic76.html
2003-11-20
Existence of Optimal Bandlimited Controls without Convexity Condition
201-215
Information and Control
July
1976
31
3
http://theory.lcs.mit.edu/~iandc/ic76.html
2006-06-08
On the Stability of a Class of Nonlinear Stochastic Systems
276-293
Information and Control
April
1972
20
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc20.html#AhmedT72
2003-11-20
Maximal Common Subsequences and Minimal Common Supersequences.
145-153
1996
124
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc124.html#FraserIM96
2006-04-21
Induction by Enumeration.
50-68
2001
171
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc171.html#MartinO01
2006-04-25
Derivatives and Quotients of Prefix-Free Context-Free Languages
229-235
Information and Control
June
1980
45
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc45.html#Hughes80
2006-04-25
A Calculus for Overloaded Functions with Subtyping
115-135
Inf. Comput.
February
1995
117
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc117.html#CastagnaGL95
2006-04-21
Decidability of Linear Affine Logic.
173-198
2001
164
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc164.html#Kopylov01
2006-04-25
Cyclic Error-Locating Codes
378-385
Information and Control
April
1967
10
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc10.html#Goethals67
2006-04-25
Nonlinear Codes Defined by Quadratic Forms over GF(2)
43-74
Information and Control
May
1976
31
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#Goethals76
2006-04-25
Sequential Estimation in Linear Systems with Multiple Time Delays
471-486
Information and Control
June
1973
22
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc22.html#ShuklaS73
2006-04-25
A Topology for Automata: A Note
163-168
Information and Control
October
1976
32
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#ShuklaS76
2003-11-20
Reply to ``Final Note'' by Benoit Mandelbrot
217-223
Information and Control
September
1961
4
2-3
http://theory.lcs.mit.edu/~iandc/ic61.html
2003-11-20
Reply to Dr. Mandelbrot's Post Scriptum
305-308
Information and Control
September
1961
4
2-3
http://theory.lcs.mit.edu/~iandc/ic61.html
2006-04-25
A Theory of Modal Control
316-353
Information and Control
October
1968
13
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc13.html#SimonM68
2003-11-20
A Short Note on Some Tractable Cases of the Satisfiability Problem.
125-130
2000
158
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc158.html#Maaren00
2006-04-25
The Vertex Separation and Search Number of a Graph
50-79
Inf. Comput.
August
1994
113
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc113.html#EllisST94
2003-11-20
Using Tableaux to Automate the Lambek and Other Categorial Calculi.
206-225
2000
162
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc162.html#Luz-Filho00
2006-04-25
Codes With Bounded Synchronization Delay
355-372
Information and Control
August
1965
8
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc8.html#GolombG65
2006-04-25
Optimal Hashing
64-92
Information and Control
July
1984
62
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc62.html#Krichevsky84
2006-04-25
Information Compression and Varshamov-Gilbert Bound
1-14
Inf. Comput.
July
1987
74
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc74.html#Krichevsky87
2006-04-25
Occam's Razor, Partially Specified Boolean Functions, String Matching, and Independent Sets
158-174
Inf. Comput.
January
1994
108
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc108.html#Krichevsky94
2003-11-20
On Computations with Pulses.
202-218
1999
148
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc148.html#MaassR99
2003-11-20
On the Complexity of Learning for Spiking Neurons with Temporal Coding.
26-46
1999
153
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc153.html#MaassS99
2003-11-20
Efficient Learning With Virtual Threshold Gates.
66-83
1998
141
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc141.html#MaassW98
2006-04-25
Exponential Error Bounds for Discrete Memoryless Channels with Sequential Decision Feedback
311-318
Information and Control
June
1970
16
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc16.html#Bluestein70
2003-11-20
Preprocessing of Intractable Problems.
89-120
2002
176
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2001.3043
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc176.html#CadoliDLS02
2006-04-25
Note on Reinforcing Control Information in Variable Length Items
146-150
Information and Control
June
1964
7
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc7.html#Ramamoorthy64
2003-11-20
On the Power of Higher-Order Algebraic Specification Methods.
85-101
1996
124
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc124.html#KosiuczenkoM96
2003-11-20
Optimal proof systems imply complete sets for promise classes.
71-92
2003
184
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00058-0
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc184.html#KoblerMT03
2006-04-25
Hypercodes in Deterministic and Slender 0L Languages
251-262
Information and Control
June
1980
45
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc45.html#HeadT80
2003-11-20
Bisimilarity in Term Graph Rewriting.
2-24
2000
156
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc156.html#AriolaKP00
2006-04-25
On Minimum Mean-Square Error Linear Block Codes When the Data have q-adic Weighting
154-177
Information and Control
October
1974
26
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc26.html#RedinboW74
2006-04-25
Criteria for Optimum Effectiveness of Information Retrieval Systems
156-167
Information and Control
March
1971
18
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc18.html#Heaps71
2006-04-25
A Theory of Relevance for Automatic Document Classification
268-278
Information and Control
April
1973
22
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc22.html#Heaps73
2003-11-20
Combining Decision Algorithms for Matching in the Union of Disjoint Equational Theories.
144-160
1996
126
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc126.html#Ringeissen96
2006-04-25
Optimum Block Codes for Noiseless Input Restricted Channels
398-415
Information and Control
September
1964
7
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc7.html#FreimanW64
2006-04-25
Elections in Anonymous Networks
312-330
Inf. Comput.
September
1994
113
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc113.html#AfekM94
2003-11-20
Discrete Sequential Search
159-162
Information and Control
April
1965
8
2
http://theory.lcs.mit.edu/~iandc/ic65.html
2003-11-20
An Intermediate Value Theorem for Sequential Analog to Digital Converters without Sample-Hold
451-453
Information and Control
August
1965
8
4
http://theory.lcs.mit.edu/~iandc/ic65.html
2006-04-25
Interaction between Two Independent Recurrent Time Series
149-158
Information and Control
February
1967
10
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc10.html#HoopenR67
2006-04-25
A Paradox Concerning Rate of Information
113-126
Information and Control
May
1958
1
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc1.html#GoodD58
2006-04-25
A Paradox Concerning Rate of Information: Corrections and Additions
194-197
Information and Control
June
1959
2
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc2.html#GoodD59
2006-04-25
On the Essential Quadratic Nature of LQC Control-Performance Measure Cumulants
276-305
Information and Control
November
1976
32
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#LibertyH76
2006-04-25
A New Construction of Group and Nongroup Perfect Codes
314-323
Information and Control
August
1977
34
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc34.html#Heden77
2003-11-20
Some Lower Bounds on the Number of Code Points in a Minimum Distance Binary Code. I
313-319
Information and Control
December
1961
4
4
http://theory.lcs.mit.edu/~iandc/ic61.html
2003-11-20
Some Lower Bounds on the Number of Code Points in a Minimum Distance Binary Code. II
320-323
Information and Control
December
1961
4
4
http://theory.lcs.mit.edu/~iandc/ic61.html
2003-11-20
Computable Kripke Models and Intermediate Logics.
205-230
1998
143
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc143.html#IshiharaKN98
2006-04-25
The Subtree Max Gap Problem with Application to Parallel String Covering.
127-137
1995
123
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc123.html#BerkmanIP95
2003-11-20
Term rewriting for normalization by evaluation.
19-42
2003
183
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00014-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc183.html#BergerES03
2003-11-20
Exploiting Random Walks for Learning.
121-135
2002
176
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2002.3083
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc176.html#BartlettFH02
2006-04-25
Some Optimization Problems for Convolution Systems over Finite Groups
227-247
Information and Control
July
1977
34
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc34.html#KarpovskyT77
2006-04-25
Fourier Transform over Finite Groups for Error Detection and Error Correction in Computation Channels
335-358
Information and Control
March
1979
40
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc40.html#KarpovskyT79
2006-04-25
Knowledge and Common Knowledge in a Byzantine Environment: Crash Failures
156-186
Inf. Comput.
October
1990
88
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc88.html#DworkM90
2006-04-25
Dyadic Sampling Approximations for Non-Sequency-Limited Signals
199-211
Information and Control
June
1981
49
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc49.html#HabibC81
2006-04-25
Simple Translation-Invariant Concepts Are Hard to Learn
300-311
Inf. Comput.
September
1994
113
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc113.html#Jerrum94
2006-04-25
Turn-Bounded Grammars and Their Relation to Ultralinear Languages
188-200
Information and Control
October
1976
32
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#Workman76
2006-04-25
On Some Properties of the Semigroup of a Machine Which are Preserved Under State Minimization
290-316
Information and Control
September
1967
11
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#Beatty67
2006-04-25
On Specifications, Theories, and Models with Higher Types
1-46
Information and Control
January/February/March
1986
68
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc68.html#Poigne86
2006-04-25
Automata on Infinite Objects and Their Applications to Logic and Programming
41-64
Inf. Comput.
October
1989
83
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc83.html#NivatS89
2006-04-25
On the Bayesian Approach to Image Reconstruction
60-71
Information and Control
July
1979
42
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc42.html#HermanHLL79
2003-11-20
In Memoriam: Paris C. Kanellakis (1953-1995).
65
1996
127
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc127.html#Vardi96
2006-04-25
Lower Time Bounds for Solving Linear Diophantine Equations on Several Parallel Computational Models
195-211
Information and Control
October/November/December
1985
67
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc67.html#Heide85
2003-11-20
The Combinator S.
2-21
2000
159
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc159.html#Waldmann00
2006-04-25
The Capacity Region of a Channel with s Senders and r Receivers
185-203
Information and Control
November
1975
29
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc29.html#Ulrey75
2006-04-25
Sequential Coding for Channels with Feedback
93-100
Information and Control
October
1976
32
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#Ulrey76
2006-04-25
Uncertainties and Optimal Control Approach to Feedback Control Problems
468-492
Information and Control
October
1965
8
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc8.html#MackoM65
2006-04-25
Observable Behaviors and Equivalences of Logic Programs
1-29
Inf. Comput.
October
1995
122
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc122.html#GabbrielliLM95
2006-04-25
Perfect Nonbinary AN Codes with Distance Three
336-348
Information and Control
April
1975
27
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc27.html#GotoF75
2003-11-20
One-Unambiguous Regular Languages.
229-253
1998
140
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc140.html#Bruggemann-KleinW98
2006-04-25
Improved State Estimation for Systems with Interrupted Observations
146-157
Information and Control
October
1976
32
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc32.html#MadanM76
2003-11-20
On a Generalization of the Pless Symmetry Codes
369-373
Information and Control
April
1975
27
4
http://theory.lcs.mit.edu/~iandc/ic75.html
2003-11-20
Codes over Integer Residue Rings
295-300
Information and Control
December
1975
29
4
http://theory.lcs.mit.edu/~iandc/ic75.html
2006-04-25
NC Algorithms for Computing the Number of Perfect Matchings in K_3,3-Free Graphs and Related Problems
152-164
Inf. Comput.
February
1989
80
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc80.html#Vazirani89
2006-04-25
Universal Retractions on DI-Domains
252-257
Inf. Comput.
June
1995
119
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc119.html#GouyJ95
2003-11-20
Logical Hierarchies in PTIME.
1-19
1996
129
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc129.html#Hella96
2003-11-20
The context-splittable normal form for Church-Rosser language systems.
245-274
2003
183
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00035-X
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc183.html#Woinowski03
2006-04-25
Synchronization of Growing Cellular Arrays
103-122
Information and Control
June
1974
25
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc25.html#HermanLRW74
2006-04-25
A Note on Computing Time for Recognition of Languages Generated by Linear Grammars
209-214
Information and Control
February
1967
10
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc10.html#Kasami67
2006-04-25
The Weight Enumerators for Several Clauses of Subcodes of the 2nd Order Binary Reed-Muller Codes
369-394
Information and Control
May
1971
18
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc18.html#Kasami71
2006-04-25
Sequentiality in an Extensional Framework
265-296
Inf. Comput.
May
1994
110
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc110.html#BucciarelliE94
2006-04-25
On the Concept of State in Decentralized Control
1-12
Information and Control
July
1981
50
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc50.html#Tenney81
2006-04-25
Linear, Stationary, Optimal Feedback Control Systems
79-93
Information and Control
February
1966
9
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc9.html#Arimoto66
2006-04-25
Information-Theoretical Considerations on Estimation Problems
181-194
Information and Control
October
1971
19
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc19.html#Arimoto71
2006-04-25
Intersection and Union Types: Syntax and Semantics
202-230
Inf. Comput.
June
1995
119
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc119.html#BarbaneraDL95
2006-04-25
Context Sensitive Table Lindenmayer Languages and a Relation to the LBA Problem
217-226
Information and Control
March
1977
33
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc33.html#Vitanyi77
2006-04-25
On Efficient Simulations of Multicounter Machines
20-39
Information and Control
October/November/December
1982
55
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc55.html#Vitanyi82
2006-04-25
Random Sampling of Random Processes: Impulse Processes
347-363
Information and Control
August
1966
9
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc9.html#Leneman66
2006-04-25
A Denotational Theory of Synchronous Reactive Systems
192-230
Inf. Comput.
August
1992
99
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc99.html#BenvenisteGSS92
2006-04-25
On the Complexity of Approximating the Independent Set Problem
77-94
Inf. Comput.
January
1992
96
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc96.html#BermanS92
2006-04-25
On the Unique Satisfiability Problem
80-88
Information and Control
October/November/December
1982
55
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc55.html#BlassG82
2006-04-21
Context-Free Series on Trees.
186-229
2001
169
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc169.html#Bozapalidis01
2003-11-20
Positive Tree Representations and Applications to Tree Automata.
130-153
1997
139
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc139.html#Bozapalidis97
2003-11-20
An Algebraic Framework for Urgency.
172-202
2000
163
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc163.html#BornotS00
2006-04-25
The Realization of Consistent Input-Output Sequences by Finite State Machines
97-106
Information and Control
June
1976
31
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc31.html#HeunV76
2003-11-20
Bridging Across the log(n) Space Frontier.
127-158
1998
142
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc142.html#Geffert98
2006-04-25
k versus k+1 Index Registers and Modifiable versus Non-modifiable Programs
123-129
Inf. Comput.
November
1992
101
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc101.html#MehlhornPU92
2003-11-20
Hybrid I/O automata.
105-157
2003
185
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00067-1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc185.html#LynchSV03
2006-04-25
Safety Analysis versus Type Inference
128-141
Inf. Comput.
April
1995
118
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc118.html#PalsbergS95
2006-04-21
Efficient and Flexible Matching of Recursive Types.
364-387
2001
171
Inf. Comput.
2
http://dx.doi.org:10.1006/inco.2001.3090
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc171.html#PalsbergZ01
2006-04-25
The Theory of Sequential Relations
435-468
Information and Control
October
1966
9
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc9.html#GrayH66
2006-04-25
The Maximum Mutual Information between Two Random Processes
273-280
Information and Control
April
1977
33
4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc33.html#GrayS77
2006-04-25
Two-Dimensional Binary Arrays with Good Autocorrelation
125-130
Information and Control
August
1979
42
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc42.html#ChanST79
2003-11-20
Preface.
139
2003
183
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00036-1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc183.html#Middeldorp03
2006-04-25
Modular Properties of Conditional Term Rewriting Systems
110-158
Inf. Comput.
May
1993
104
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc104.html#Middeldorp93
2006-04-25
Codes over Z_m
48-51
Information and Control
September
1977
35
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc35.html#Spiegel77
2006-04-25
Codes over Z_m, Revisited
100-104
Information and Control
April
1978
37
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc37.html#Spiegel78
2006-04-25
Finite-State Unification Automata and Relational Languages
192-213
Inf. Comput.
November
1994
114
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc114.html#ShemeshF94
2006-04-25
Proving Nondeterministically Specified Safety Properties Using Progress Measures
151-170
Inf. Comput.
November
1993
107
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc107.html#KlarlundS93
2006-04-25
On LL(k) Parsing
141-164
Information and Control
June
1982
53
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc53.html#SippuS82
2006-04-25
A Term Model for Synchronous Processes
58-75
Information and Control
October
1981
51
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc51.html#Hennessy81
2006-04-25
Synchronous and Asynchronous Experiments on Processes
36-83
Information and Control
October/November/December
1983
59
1-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc59.html#Hennessy83
2006-04-25
A Fully Abstract Denotational Model for Higher-Order Processes
55-95
Inf. Comput.
July
1994
112
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc112.html#Hennessy94
2006-04-25
On Infinite Decodable Codes
607-612
Information and Control
November-December
1967
11
5/6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc11.html#Smorodinsky67
2003-11-20
Ntyft/Ntyxt Rules Reduce to Ntree Rules.
1-10
1996
126
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc126.html#FokkinkG96
2006-04-25
An Effective Axiomatization for Real Time ACP.
286-299
1995
122
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc122.html#FokkinkK95
2003-11-20
A Conservative Look at Operational Semantics with Variable Binding.
24-54
1998
146
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc146.html#FokkinkV98
2006-04-25
Arbitrary versus Periodic Storage Schemes and Tessellations of the Plane Using One Type of Polyomino
1-25
Information and Control
July
1984
62
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc62.html#WijshoffL84
2006-04-25
On Non-Preemptive Scheduling of Recurring Tasks Using Inserted Idle Times
50-62
Inf. Comput.
February
1995
117
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc117.html#HowellV95
2006-04-25
Very Special Languages and Representations of Recursively Enumerable Languages via Computation Histories
201-212
Information and Control
December
1980
47
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc47.html#HausslerZ80
2006-04-25
Time and Tape Complexity of Pushdown Automaton Languages
186-206
Information and Control
September
1968
13
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc13.html#AhoHU68
2003-11-20
Ordering Constraints over Feature Trees Expressed in Second-Order Monadic Logic.
22-58
2000
159
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc159.html#MullerN00
2003-11-20
Variations on extending partially defined Boolean functions with missing bits.
53-70
2003
180
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(02)00012-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc180.html#BorosIM03
2006-04-25
A Class of Stochastic Nonlinear Integral Equations on L^p Spaces and its Application to Optimal Control
512-523
Information and Control
June
1969
14
6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc14.html#Ahmed69
2006-04-25
Closure and Completeness of Wiener's Orthogonal Set \G_n\ in the Class L^2(Omega, B, \mu) and Its Application to Stochastic Heriditary Differential Systems
161-174
Information and Control
September
1970
17
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc17.html#Ahmed70
2006-04-25
Existence of Optimal Controls for a Class of Hereditary Systems with Lagging Control
178-185
Information and Control
October
1974
26
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc26.html#Ahmed74
2003-11-20
Error-Free and Best-Fit Extensions of Partially Defined Boolean Functions.
254-283
1998
140
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc140.html#BorosIM98
2003-11-20
Aliasing Models for Mobile Objects.
3-33
2002
175
Inf. Comput.
1
http://www.idealibrary.com/links/doi/10.1006/inco.2001.3104
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc175.html#NestmannHKM02
2003-11-20
Lambda Calculus with Explicit Recursion.
154-233
1997
139
Inf. Comput.
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc139.html#AriolaK97
2003-11-20
Further Results on Asymmetric Authentication Schemes.
100-133
1999
151
Inf. Comput.
1-2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc151.html#Johansson99
2006-04-25
Stability Criteria for a Class of Nonlinear Systems
276-296
Information and Control
September
1963
6
3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc6.html#Gyftopoulos63
2006-04-25
A Proof of the Kahn Principle for Input/Output Automata
81-92
Inf. Comput.
July
1989
82
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc82.html#LynchS89
2006-04-25
Forward and Backward Simulations: I. Untimed Systems
214-233
Inf. Comput.
September
1995
121
2
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc121.html#LynchV95
2003-11-20
Forward and Backward Simulations, II: Timing-Based Systems.
1-25
1996
128
Inf. Comput.
1
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc128.html#LynchV96
2006-04-25
Translations on a Context-Free Grammar
439-475
Information and Control
December
1971
19
5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc19.html#AhoU71
2003-11-20
Corrigendum: ``Comment on an Algorithm that Generates Fuzzy Prime Implicants by Lee and Chang''
100
Information and Control
January
1974
24
1
http://theory.lcs.mit.edu/~iandc/ic74.html
2003-11-20
On the Minimization of Incompletely Specified Fuzzy Functions
141-153
Information and Control
October
1974
26
2
http://theory.lcs.mit.edu/~iandc/ic74.html
2004-01-15
Future temporal logic needs infinitely many modalities.
196-208
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00163-9
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#HirshfeldR03
2004-01-15
Describing parameterized complexity classes.
291-319
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00161-5
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#FlumG03
2004-01-15
Uniform-distribution attribute noise learnability.
277-290
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00135-4
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#BshoutyJT03
2004-01-15
Controlling the data space of tree structured computations.
246-276
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00132-9
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#GottliebO03
2004-01-15
On regular drawn symbolic picture languages.
209-245
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00136-6
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#CostagliolaDFG03
2004-01-15
Testing metric properties.
155-195
2003
187
Inf. Comput.
2
http://dx.doi.org/10.1016/S0890-5401(03)00160-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc187.html#ParnasR03
2004-01-15
On abstract interpretation of Mobile Ambients.
179-240
2004
188
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.06.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#LeviM04
2004-01-15
Decidability of bounded second order unification.
143-178
2004
188
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.08.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#Schmidt-Schauss04
2004-01-15
Generalization of ZYT-linearizability for bilinear datalog programs.
77-98
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00172-X
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#KangHWC04
2004-01-15
Parallel two dimensional witness computation.
20-67
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00162-7
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#ColeGHMP04
2004-01-15
DP lower bounds for equivalence-checking and model-checking of one-counter automata.
1-19
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00171-8
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#JancarKMS04
2004-01-15
Bi-immunity separates strong NP-completeness notions.
116-126
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.05.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#PavanS04
2004-01-15
Efficient algorithms for learning functions with bounded variation.
99-115
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00164-0
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#Long04
2004-01-15
On the call-by-value CPS transform and its semantics.
241-283
2004
188
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.08.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#FuhrmannT04
2004-01-15
De Bakker-Zucker processes revisited.
68-76
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2001.03.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#Breugel04
2004-01-15
Counting extensional differences in BC-learning.
127-142
2004
188
Inf. Comput.
1
http://dx.doi.org/10.1016/S0890-5401(03)00174-3
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc188.html#JainST04
2005-04-05
The complexity of planarity testing.
117-134
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.09.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#AllenderM04
2005-04-05
Fast approximate probabilistically checkable proofs.
135-159
2004
189
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.09.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#ErgunKR04
2005-04-05
Sensitivity, block sensitivity, and l-block sensitivity of boolean functions.
43-53
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2002.12.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#KenyonK04
2005-04-05
Generalized notions of mind change complexity.
235-262
2004
189
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.11.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#SharmaSV04
2005-04-05
Intersection types for explicit substitutions.
17-42
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.09.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#LengrandLDDB04
2005-04-05
Parametric parameter passing Lambda-calculus.
87-106
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.08.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#PaoliniR04
2005-04-05
Comparing hierarchies of types in models of linear logic.
202-234
2004
189
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.10.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#Mellies04
2005-04-05
Counting and sampling H-colourings?
1-16
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.09.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#DyerGJ04
2005-04-05
On the strength of comparisons in property testing.
107-116
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.09.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#Fischer04
2005-04-05
On the automatizability of resolution and related propositional proof systems.
182-201
2004
189
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.10.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#AtseriasB04
2005-04-05
Bounded MSC communication.
160-181
2004
189
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.10.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#LohreyM04
2005-04-05
Type inference for record concatenation and subtyping.
54-86
2004
189
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.10.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc189.html#PalsbergZ04
2005-04-05
The most nonelementary theory.
196-219
2004
190
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.02.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#Vorobyov04
2005-04-05
Process semantics of general inhibitor nets.
18-69
2004
190
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.11.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#KleijnK04
2005-04-05
Combinatorial sharpness criterion and phase transition classification for random CSPs.
220-238
2004
190
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.01.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#CreignouD04
2005-04-05
Classes with easily learnable subclasses.
81-99
2004
190
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.12.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#JainMS04
2005-04-05
Average-case intractability vs. worst-case intractability.
1-17
2004
190
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.05.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#KoblerS04
2005-04-05
Tight bounds for FEC-based reliable multicast.
117-135
2004
190
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.12.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#AttiyaS04
2005-04-05
The submatrices character count problem: an efficient solution using separable values.
100-116
2004
190
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.12.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#AmirCD04
2005-04-05
Bounds for the D0L language equivalence problem.
70-80
2004
190
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.12.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#Honkala04
2005-04-05
Automata theory based on quantum logic: some characterizations.
179-195
2004
190
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.11.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#Qiu04
2005-04-05
Models for name-passing processes: interleaving and causal.
136-178
2004
190
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.12.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc190.html#CattaniS04
2005-04-05
Reasoning about common knowledge with infinitely many agents.
1-40
2004
191
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.01.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc191.html#HalpernS04
2005-04-05
Strong normalisation in the pi -calculus.
145-202
2004
191
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2003.08.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc191.html#YoshidaBH04
2005-04-05
Higher-order subtyping and its decidability.
41-103
2004
191
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.01.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc191.html#Compagnoni04
2005-04-05
Nested semantics over finite trees are equationally hard.
203-232
2004
191
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.02.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc191.html#AcetoFGI04
2005-04-05
Bisimulation on speed: worst-case efficiency.
105-144
2004
191
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2002.08.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc191.html#LuttgenV04
2005-04-05
The language intersection problem for non-recursive context-free grammars.
172-184
2004
192
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.03.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#NederhofS04
2005-04-05
Ultimate approximation and its application in nonmonotonic knowledge representation systems.
84-121
2004
192
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.02.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#DeneckerMT04
2005-04-05
The query complexity of order-finding.
162-171
2004
192
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.04.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#Cleve04
2005-04-05
Computing the vertex separation of unicyclic graphs.
123-161
2004
192
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.03.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#EllisM04
2005-04-05
On the classification of recursive languages.
15-40
2004
192
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.03.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#CaseKSS04
2005-04-05
An information-theoretic model for steganography.
41-56
2004
192
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.02.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#Cachin04
2005-04-05
Multiparty communication complexity and very hard functions.
1-14
2004
192
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.03.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#Duris04
2005-04-05
Learning all subfunctions of a function.
185-215
2004
192
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.03.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#JainKW04
2005-04-05
Real numbers having ultimately periodic representations in abstract numeration systems.
57-83
2004
192
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2003.12.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc192.html#LecomteR04
2005-04-05
Finite-tree analysis for constraint logic-based languages.
84-116
2004
193
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.04.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#BagnaraGHZ04
2005-04-05
Dynamic nested brackets.
75-83
2004
193
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.04.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#AlstrupHR04
2005-04-05
The synthesis of Petri nets from path-automatic specifications.
117-135
2004
193
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.04.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#BadouelD04
2005-04-05
Strong normalization of the second-order symmetric lambda mu -calculus.
1-20
2004
193
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.04.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#Yamagata04
2005-04-05
On learning monotone DNF under product distributions.
57-74
2004
193
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.04.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#Servedio04
2005-04-05
(Optimal) duplication is not elementary recursive.
21-56
2004
193
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.05.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc193.html#AspertiCM04
2005-04-05
A simple and deterministic competitive algorithm for online facility location.
175-202
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.06.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#AnagnostopoulosBUH04
2005-04-05
Turing machines, transition systems, and interaction.
101-128
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.07.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#GoldinSAS04
2005-04-05
Boolean grammars.
19-48
2004
194
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.03.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#Okhotin04
2005-04-05
Applicability of fair simulation.
1-18
2004
194
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.02.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#BustanG04
2005-04-05
Towards an algebraic theory of information integration.
79-100
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.07.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#GrahneK04
2005-04-05
Editor's introduction.
77-78
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.08.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#Shvartsman04
2005-04-05
Stack size analysis for interrupt-driven programs.
144-174
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.06.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#ChatterjeeMMZHP04
2005-04-05
On multi-partition communication complexity.
49-75
2004
194
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.05.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#DurisHJSS04
2005-04-05
On the computational complexity of bisimulation, redux.
129-143
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.06.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#MollerSS04
2005-04-05
Bounded similarity querying for time-series data.
203-241
2004
194
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.07.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc194.html#GoldinMK04
2005-04-05
Local temporal logic is expressively complete for cograph dependence alphabets.
30-52
2004
195
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.08.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc195.html#DiekertG04
2005-04-05
Minimizing the maximum starting time on-line.
53-65
2004
195
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.09.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc195.html#EpsteinS04
2005-04-05
A well-structured framework for analysing petri net extensions.
1-29
2004
195
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.01.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc195.html#FinkelMP04
2005-04-05
Remarks on Thatte's transformation of term rewriting systems.
66-87
2004
195
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2003.09.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc195.html#LuttikRV04
2006-02-22
Lower bounds on systolic gossip.
71-94
2005
196
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.09.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#FlamminiP05
2006-02-22
Secrecy and group creation.
127-155
2005
196
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.08.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#CardelliGG05
2006-02-22
Optimal on-line algorithms for the uniform machine scheduling problem with ordinal data.
57-70
2005
196
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.10.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#TanHE05
2006-02-22
Completely iterative algebras and completely iterative monads.
1-41
2005
196
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.05.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#Milius05
2006-02-22
Fast approximate PCPs for multidimensional bin-packing problems.
42-56
2005
196
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.10.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#BatuRW05
2006-02-22
Decidable call-by-need computations in term rewriting.
95-126
2005
196
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.10.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc196.html#DurandM05
2006-02-22
Transitivity in coercive subtyping.
122-144
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.008
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#LuoL05
2006-02-22
The Church-Rosser languages are the deterministic variants of the growing context-sensitive languages.
1-21
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.09.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#NiemannO05
2006-02-22
The equational theory of regular words.
55-89
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2005.01.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#BloomE05
2006-02-22
Minimal-change integrity maintenance using tuple deletions.
90-121
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.04.007
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#ChomickiM05
2006-02-22
Simulating perfect channels with probabilistic lossy channels.
22-40
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.12.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#AbdullaBIJ05
2006-02-22
Weakly useful sequences.
41-54
2005
197
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2005.01.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc197.html#FennerLMR05
2006-02-22
Subtyping recursion and parametric polymorphism in kernel fun.
71-147
2005
198
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.11.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#ColazzoG05
2006-02-22
Functions computable in polynomial space.
56-70
2005
198
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.02.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#GalotaV05
2006-02-22
Locally guided randomized elections in trees: The totally fair case.
40-55
2005
198
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.01.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#MetivierSZ05
2006-02-22
Integrated prefetching and caching in single and parallel disk systems.
24-39
2005
198
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.01.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#AlbersB05
2006-02-22
Competing provers yield improved Karp-Lipton collapse results.
1-23
2005
198
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.01.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#CaiCHO05
2006-02-22
Timer formulas and decidable metric temporal logic.
148-178
2005
198
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.12.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc198.html#HirshfeldR05
2006-02-22
Efficient instance retrieval with standard and relational path indexing.
228-252
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.012
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#RiazanovV05
2006-02-22
19th International Conference on Automated Deduction (CADE-19).
1-2
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2005.02.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#Baader05
2006-02-22
Mechanising first-order temporal resolution.
55-86
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#KonevDDFH05
2006-02-22
Superposition with equivalence reasoning and delayed clause normal form transformation.
3-23
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.010
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#GanzingerS05
2006-02-22
Proving pointer programs in higher-order logic.
200-227
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.007
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#MehtaN05
2006-02-22
Canonization for disjoint unions of theories.
87-106
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.11.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#KrsticC05
2006-02-22
Automating the dependency pair method.
172-199
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#HirokawaM05
2006-02-22
A randomized satisfiability procedure for arithmetic and uninterpreted function symbols.
107-131
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#GulwaniN05
2006-02-22
The complexity of finite model reasoning in description logics.
132-171
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.11.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#LutzST05
2006-02-22
Translation of resolution proofs into short first-order proofs without choice axioms.
24-54
2005
199
Inf. Comput.
1-2
http://dx.doi.org/10.1016/j.ic.2004.10.011
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc199.html#Nivelle05
2006-02-22
Comparative branching-time semantics for Markov chains.
149-214
2005
200
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.03.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#BaierKHW05
2006-02-22
Time and space optimal implementations of atomic multi-writer register.
62-106
2005
200
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.11.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#IsraeliS05
2006-02-22
Reductions between disjoint NP-Pairs.
247-267
2005
200
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.03.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#GlasserSS05
2006-02-22
Bridging the gap between fair simulation and trace inclusion.
35-61
2005
200
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.01.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#KestenPP05
2006-02-22
Notions of bisimulation and congruence formats for SOS with data.
107-147
2005
200
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.03.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#MousaviRG05
2006-02-22
Quantum and classical complexity classes: Separations, collapses, and closure properties.
1-34
2005
200
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.10.009
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#SpakowskiTT05
2006-02-22
<i>pi</i>-calculus.
215-246
2005
200
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.03.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc200.html#QuagliaW05
2006-02-22
An efficient query learning algorithm for ordered binary decision diagrams.
178-198
2005
201
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#Nakamura05
2006-02-22
Source-tracking unification.
121-159
2005
201
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2004.10.013
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#ChoppellaH05
2006-02-22
The Seal Calculus.
1-54
2005
201
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.11.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#CastagnaVN05
2006-02-22
Arithmetic Meyer sets and finite automata.
199-215
2005
201
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#AkiyamaBF05
2006-02-22
On the influence of the variable ordering for algorithmic learning using OBDDs.
160-177
2005
201
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#KrauseSW05
2006-02-22
Tight lower bounds for certain parameterized NP-hard problems.
216-231
2005
201
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#ChenCFHJKX05
2006-02-22
How many strings are easy to predict?
55-71
2005
201
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.04.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#KalnishkanVV05
2006-02-22
An axiomatization of PCTL*.
72-119
2005
201
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.03.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc201.html#Reynolds05
2006-02-22
Verification of probabilistic systems with faulty communication.
141-165
2005
202
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.008
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#AbdullaBRS05
2006-02-22
A theory of regular MSC languages.
1-38
2005
202
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2004.08.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#HenriksenMKST05
2006-02-22
Verification of programs with half-duplex communication.
166-190
2005
202
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#CeceF05
2006-02-22
On an open problem of Amadio and Curien: The finite antichain condition.
87-103
2005
202
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.06.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#ZhangJ05
2006-02-22
Using heuristic search for finding deadlocks in concurrent systems.
191-226
2005
202
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.07.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#GradaraSV05
2006-02-22
The existential theory of equations with rational constraints in free groups is PSPACE-complete.
105-140
2005
202
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.04.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#DiekertGH05
2006-02-22
Communication and mobility control in boxed ambients.
39-86
2005
202
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.06.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc202.html#BugliesiCMS05
2006-02-22
Relations between Gold-style learning and query learning.
211-237
2005
203
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.08.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#LangeZ05
2006-02-22
Performing work with asynchronous processors: Message-delay-sensitive bounds.
181-210
2005
203
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.08.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#KowalskiS05
2006-02-22
Context-free languages can be accepted with absolutely no space overhead.
163-180
2005
203
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.005
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#HemaspaandraMT05
2006-02-22
Decidable first-order transition logics for PA-processes.
75-113
2005
203
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.02.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#LugiezS05
2006-02-22
Axiomatising divergence.
115-144
2005
203
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.05.007
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#LohreyDH05
2006-02-22
A theory of Stochastic systems. Part II: Process algebra.
39-74
2005
203
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.07.002
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#DArgenioK05a
2006-02-22
A theory of stochastic systems part I: Stochastic automata.
1-38
2005
203
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.07.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#DArgenioK05
2006-02-22
On the computational power of probabilistic and quantum branching program.
145-162
2005
203
Inf. Comput.
2
http://dx.doi.org/10.1016/j.ic.2005.04.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc203.html#AblayevGKMP05
2006-02-22
Learning languages from positive data and a finite number of queries.
123-175
2006
204
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.09.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc204.html#JainK06
2006-02-22
Building small equality graphs for deciding equality logic with uninterpreted functions.
26-59
2006
204
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.08.001
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc204.html#RodehS06
2006-02-22
Fast LLL-type lattice reduction.
1-25
2006
204
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.04.004
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc204.html#Schnorr06
2006-02-22
Pure bigraphs: Structure and dynamics.
60-122
2006
204
Inf. Comput.
1
http://dx.doi.org/10.1016/j.ic.2005.07.003
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc204.html#Milner06
2006-04-21
Rollback-Dependency Trackability: A Minimal Characterization and Its Protocol.
144-173
2001
165
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2000.2906
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc165.html#BaldoniHR01
2006-04-21
Regular Languages Accepted by Quantum Automata.
174-182
2001
165
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2000.2911
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc165.html#BertoniC01
2006-04-21
Implementing Shared Memory on Mesh-Connected Computers and on the Fat-Tree.
123-143
2001
165
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2000.3006
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc165.html#HerleyPP01
2006-04-21
Corrigendum: A Domain Equation for Bisimulation: Volume 92 Number 2 (1991), pages 161-218.
119-122
2001
165
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2001.2909
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc165.html#AbramskyAI01
2006-04-21
Working with ARMs: Complexity Results on Atomic Representations of Herbrand Models.
183-207
2001
165
Inf. Comput.
2
http://dx.doi.org/10.1006/inco.2000.2915
http://www.informatik.uni-trier.de/~ley/db/journals/iandc/iandc165.html#GottlobP01
2003-05-16
Solid Logic Technology: Versatile, high-performance microelectronics.
56-69
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/davis.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#DavisHSC00
2002-01-03
Application of the Page Survival Index (PSI) to Virtual-Memory System Performance.
212-220
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Bard75
2002-01-03
An Analytic Model of the VM/370 System.
498-508
1978
22
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#Bard78
2002-01-03
Algorithm to Check Network States for Deadlock.
82-86
1979
23
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#Ahuja79
2002-01-03
Determining Deadlock Exposure for a Class of Store and Forward Communication Networks.
49-55
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Ahuja80
2002-01-03
Row-by-Row Dynamic Image Analysis of a Matrix of Scanned Points.
367-375
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#McAuley83
2003-05-16
System control structure of the IBM eServer z900.
523-536
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0523
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BaitingerEKMSS02
2002-01-03
On Murphy's Yield Formula.
545-548
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Meister83
2002-01-03
Delay Analysis of a Two-Queue, Nonuniform Message Channel.
915-929
1981
25
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Calo81
2002-01-03
The Evolution of Magnetic Storage.
663-676
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Stevens81
2002-01-03
The Analysis and Comparison of Actual to Predicted Collector Array Performance.
253-269
1979
23
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#McCumbRerW79
2003-09-17
Interconnect opportunities for gigascale integration.
245-264
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0245
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#MeindlDZPMK02
2002-01-03
On Optimization of Storage Hierarchies.
194-203
1974
18
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Chow74
2002-01-03
Central Server Model for Multiprogrammed Computer Systems with Different Classes of Jobs.
314-320
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Chow75
2003-05-16
Finite-element analysis of semiconductor devices: The FIELDAY program.
142-156
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/buturla.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#ButurlaCGS00
2003-05-16
The evolution of RISC technology at IBM.
48-55
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/cocke.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#CockeM00
2002-01-03
Communication: Strenght Reduction for Division and Modulo with Application to Accessing a Multilevel Store.
692-694
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#CockeM80
2002-01-03
The Evolution of RISC Technology at IBM.
4-11
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#CockeM90
2003-05-16
Influence of trapped and interfacial charges in organic multilayer light-emitting devices.
77-88
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/riess.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#RiessRBBMS01
2002-01-03
Constraint Solver for Generalized IC Layout.
581-589
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Cook84
2002-01-03
Launch Processing System.
75-83
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#ByrneDH76
2002-01-03
Optimization of Relational Expressions Using a Logical Analogon.
497-519
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#BlaauwDH83
2002-01-03
Introduction to Regenerative Simulation.
458-462
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#LavenbergS75
2002-01-03
Stochastic Modeling of Processor Scheduling with Application to Data Base Management Systems.
437-448
1976
20
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#LavenbergS76
2002-01-03
Design of a Disk File Head-Positioning Servo.
506-512
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Oswald74
2002-01-03
Feature Analysis for Symbol Recognition by Elastic Matching.
91-95
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Kurtzberg87
2002-01-03
PANDA: Processing Algorithm for Noncoded Document Acquisition.
32-43
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#ChenMP87
2002-01-03
Common Chip for Use in Disk and Diskette Controllers.
440-445
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#DixB82
2002-01-03
IBM Data Communications: A Quarter Century of Evolution an Progress.
391-404
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#JaremaS81
2002-01-03
The History of Language Processor Technology in IBM.
535-548
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Allen81
2002-01-03
Direct Technique for Improving a Matrix Inverse.
413-417
1971
15
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#Sitton71
2003-05-16
Preface.
603-604
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Hefferon01
2003-05-16
Brute force estimation of the number of human genes using EST clustering as a measure.
439-448
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/davison.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#DavisonB01
2002-01-03
IBM Contributions to Computer Performance Modeling.
562-570
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#BardS81
2002-01-03
The Remanent State of Recorded Tapes.
563-569
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#BateD74
2003-05-16
zSeries features for optimized sockets-based messaging: HiperSockets and OSA-Express.
475-486
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0475
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BaskeyEERS02
2002-01-03
The IBM Diskette and Diskette Drive.
701-710
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Engh81
2006-09-05
Magnetic thin films in recording technology.
186-205
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/speriosu.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#SperiosuHSY00
2002-01-03
Bicubic Patch Surfaces for High-Speed Numerical Control Processing.
358-367
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#DimsdaleB76
2002-01-03
Composite Priority Queue.
78-81
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#GayS75
2002-01-03
Effects of Serial Programs in Multiprocessing Systems.
303-309
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#KingSW74
2003-05-16
FCP for the IBM eServer zSeries systems: Access to distributed storage.
487-502
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0487
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#AdlungBEKMR02
2002-01-03
Design of Logic Circuit Technology for IBM System/370 Models 145 and 155.
384-390
1971
15
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#FoxN71
2002-01-03
An Analysis of Hardware and Software Availability Exemplified on the IBM 3725 Communication Controller.
268-278
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#Pignal88
2002-01-03
An Execution Architecture for FP.
609-617
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#HuynhHH86
2003-05-16
An SXGA reflective liquid crystal projection light valve incorporating inversion by pixel bootstrapping.
909-918
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/schlig.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#SchligS00
2002-01-03
Optimal Rectangular Code for High Density Magnetic Tapes.
579-588
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#PatelH74
2002-01-03
Lattice-Gas Hydrodynamics on the IBM 3090 Vector Facility.
136-148
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#SuccidS89
2002-01-03
Machine Organization of the IBM RISC System/6000 Processor.
37-58
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#Grohoski90
2002-01-03
Optimization of a Single Relation Expression in a Relational Data Base System.
244-257
1976
20
IBM J. Res. Dev.
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Hall76
2003-05-16
Organic-inorganic electronics.
29-46
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/mitzi.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MitziCK01
2002-01-03
A ``Zero-Time'' VLSI Sorter.
140-148
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#MirankerTW83
2002-01-03
The Digital Data Exchange - A Space-Division Switching System.
444-453
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#HopnerP84
2003-05-16
IBM eServer z900 system microcode verification by simulation: The virtual power-on process.
587-596
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0587
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#KoernerKM02
2002-01-03
An Approach to DFT Calculations Using Standard Microprocessors.
170-176
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#RayfieldS85
2002-01-03
Yield Model for Fault Clusters Within Integrated Circuits.
636-640
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Stapper84a
2002-01-03
A Continuous Real-Time Expert System for Computer Operations.
14-28
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#EnnisGHKKKMSW86
2002-01-03
An Analysis of the Tolerance to Crosstalk Noise of a Pulse Width Modulation System.
432-439
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#ParkL83
2002-01-03
Communication: On the Application of Coding Theory to Hashing.
225-226
1979
23
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#Pippenger79
2002-01-03
Algebraic Complexity Theory.
825-832
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Pippenger81
2002-01-03
The Complexity of Computations by Networks.
235-243
1987
31
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Pippenger87
2002-01-03
Error Recovery Scheme for the IBM 3850 Mass Storage System.
32-42
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Patel80
2002-01-03
On-the-Fly Decoder for Multiple Byte Errors.
259-269
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Patel86
2002-01-03
Performance Analysis of a Multiprogrammed Computer System.
263-271
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#ChiuDW75
2002-01-03
System/370 Extended Architecture: Facilities for Virtual Machines.
530-544
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Gum83
2004-07-05
A fuzzy linguistic model for the prediction of carpal tunnel syndrome risks in an occupational environment.
759-769
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/bell.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#BellC00
2003-05-16
Preface.
310
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Horn00
2002-01-03
A Method for Efficient Storage and Rapid Application of Context-Sensitive Phonological Rules for Automatic Speech Recognition.
81-90
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#MercerC87
2003-05-16
Chemical amplification resists: History and development within IBM.
119-131
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/ito.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Ito00
2003-05-16
Dissolution behavior of chemically amplified resist polymers for 248-, 193-, and 157-nm lithography.
683-696
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/ito.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Ito01
2002-01-03
A Hybrid Optical Digital Image Processing Method for Surface Inspection.
376-385
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#WahlSW83
2002-01-03
Queuing Networks with Population Size Constraints.
370-378
1977
21
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#Lam77
2003-05-16
Process modeling for future technologies.
339-346
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0339
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Law02
2002-01-03
Communication: An Improved Regional Correlation Algorithm for Signature Verification Which Permits Small Speed Changes Between Handwriting Segments.
181-185
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Lew83
2002-01-03
Parallel Iterative Linear solvers for Oil Reservoir Models.
184-192
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#EfratT86
2002-01-03
IBM Word Processing Developments.
741-754
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#May81
2002-01-03
Codes for Self-Clocking, AC-Coupled Transmission: Aspects of Synthesis and Analysis.
358-365
1975
19
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#HongO75
2002-01-03
Optimal Pricing for an Unbounded Queue.
290-302
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Low74
2002-01-03
Mathematical Construct for Program Reorganization.
575-581
1975
19
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Pazel75
2002-01-03
CRITAC - An Experimental System for Japanese Text Proofreading.
201-216
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#TakedaSNF88
2002-01-03
Segment Synthesis in Logical Data Base Design.
71-77
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#WangW75
2002-01-03
Experience with Access Functions in an Experimental Compiler.
40-51
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Ris84
2002-01-03
Replacing Square Roots by Pythagorean Sums.
577-581
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#MolerM83
2002-01-03
Noise in Disk Data-Recording Media.
570-575
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#SuW74
2002-01-03
Compiling Circular Attribute Grammars Into Prolog.
294-309
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Arbab86
2002-01-03
Collision-Free Local Area Bus Network Performance Analysis.
904-914
1981
25
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#HamacherS81
2002-01-03
Analysis of a Loop Transmission System with Round-Robin Scheduling of Services.
486-493
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#WuC75
2003-05-16
Foreword.
667
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/foreword.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Campbell00
2002-01-03
Development of On-Board Space Computer Systems.
5-19
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#CooperC76
2002-01-03
Engineering Design of a Disk Storage Facility with Data Modules.
489-505
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Mulvany74
2003-05-16
POWER3: The next generation of PowerPC processors.
873-884
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/oconnell.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#OConnellW00
2002-01-03
The Architecture of IBM's Early Computers.
363-376
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#BasheBHIR81
2002-01-03
Effect of Replacement Algorithms on a Paged Buffer Database System.
185-196
1978
22
IBM J. Res. Dev.
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#FernandezLW78
2003-05-16
Alogrithms and data structures for compressed-memory machines.
245-258
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/franaszek.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#FranaszekHPR01
2003-05-16
Electronic displays for information technology.
409-422
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/wisnief.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#WisnieffR00
2003-05-16
TCAD development for lithography resolution enhancement.
651-666
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/liebmann.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#LiebmannMWLLD01
2002-01-03
Representation for Complex Numbers.
429-430
1978
22
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#Holmes78
2002-01-03
An Extension of Moore's Result for Closed Queuing Networks.
384-387
1977
21
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#Lam77a
2002-01-03
Parallel Encryted Array Multipliers.
536-551
1988
32
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#VassiliadisPS88
2002-01-03
Bending and Stretching an Elastic Strip Around a Narrow Cylindrical Drum.
590-597
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#LeeC83
2002-01-03
Multidimensional Bin Packing Algorithms.
443-448
1977
21
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#KouM77
2002-01-03
Reduced Data Re-Order Complexity Properties of Polynomial Transform 2D Convolution and Fourier Transform Methods.
708-714
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Kriz82
2002-01-03
Image Thresholding for Optical Character Recognition and Other Applications Requiring Character Image Extraction.
400-411
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#WhiteR83
2002-01-03
Word Autocorrelation Redundancy Match (WARM) Technology.
681-686
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#BrickmanR82
2002-01-03
Automated Technology Mapping.
546-556
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#GilkinsonLWH84
2002-01-03
On a Class of One-Step Majority-Logic Decodable Cyclic Codes.
56-63
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#LinM80
2002-01-03
Reducing Execution Parameters Through Correspondence in Computer Architecture.
420-434
1987
31
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#WakefieldF87
2002-01-03
High Speed Binary Adder.
156-166
1981
25
IBM Journal of Research and Development
2-3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Ling81
2002-01-03
Processor Controller for the IBM 3081.
22-29
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#ReillySNG82
2002-01-03
MINI: A Heuristic Approach for Logic Minimization.
443-458
1974
18
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#HongCO74
2006-08-31
Erratum.
333-
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0333
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#X06
2002-01-03
Compiling APL: The Yorktown APL Translator.
583-593
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#DriscollO86
2002-01-03
Study of Memory Partitioning for Multiprogramming Systems with Virtual Memory.
451-457
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Ghanem75a
2004-11-04
STM-excited electroluminescence and spectroscopy on organic materials for display applications.
89-100
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/alvarado.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#AlvaradoRMSR01
2002-01-03
Determining the Three-Dimensional Convex Hull of a Polyhedron.
590-601
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#AppelW76
2002-01-03
Predicting Working Set Sizes.
221-229
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Bryant75
2002-01-03
Knowledge Systems: Principles and Practice.
2-13
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Walker86
2003-05-16
Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go?
299-316
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0299
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#OsburnKHDYGLLLZHKLMO02
2006-09-05
Preface.
3-15
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/preface.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#IsaacK00
2003-05-16
Effect of increasing chip density on the evolution of computer architectures.
223-234
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0223
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Nair02
2002-01-03
Axioms and Theorems for a Theory of Arrays.
135-175
1973
17
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#More73
2002-01-03
Signal Processor Chip Implementation.
140-146
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Beraud85
2002-01-03
Noun-Phrase Model and Natural Query Language.
533-540
1978
22
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#SibuyaFT78
2002-01-03
An Experiment in Computational Discrimination of English Word Senses.
185-194
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#Black88
2003-05-16
Preface.
363-366
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#SwopeCR01
2002-01-03
Model for Transient and Permanent Error-Detection and Fault-Isolation Coverage.
67-77
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#BossenH82
2002-01-03
Analysis of Manufacturing Systems by the Research Queueing Package.
330-342
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#ChowMS85
2002-01-03
Software Reliability Analysis Models.
428-443
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Ohba84
2003-05-16
Preface.
187-190
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Harper01
2002-01-03
Rectangular Transforms for Digital Convolution on the Research Signal Processor.
424-430
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Cooley82
2003-05-16
Application of rf discharges to sputtering.
106-111
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/koenig.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#KoenigM00
2002-01-03
Empty Arrays in Extended APL.
412-427
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Orth84
2003-05-16
Ab initio computations in atoms and molecules.
228-245
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/clementi.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Clementi00
2002-01-03
Interpretation of Natural Language in an Information System.
560-572
1978
22
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#Lehmann78
2002-01-03
On the Analysis and Design of CUSUM-Shewhart Control Schemes.
377-391
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Yashchin85
2003-05-16
Recent progress in electron-beam resists for advaced mask-making.
639-650
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/medeiros.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MedeirosAGHKMMMPA01
2002-01-03
A Processor-Based OCR System.
386-399
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#CaseyJ83
2002-01-03
An Overview of the Basic Principles of the Q-Coder Adaptive Binary Arithmetic Coder.
717-726
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#PennebakerMLA88
2002-01-03
Computation of Elementary Functions on the IBM RISC System/6000 Processors.
111-119
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#Markstein90
2002-01-03
Document Analysis System.
647-656
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#WongCW82
2002-01-03
Optimum Storage Allocation for a File in Steady State.
27-38
1973
17
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Pool73
2002-01-03
Evolution of Real-Time Computer Systems for Mannet Spaceflight.
417-428
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#James81
2002-01-03
Design of Experiments in Simulator Validation.
252-262
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#SchatzoffT75
2003-05-16
Spatial variation of currents and fields due to localized scatterers in metallic conduction.
251-260
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/landauer.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Landauer00
2002-01-03
Statistical Analysis of Non-Stationary Series of Events in a Data Base System.
465-482
1976
20
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#LewisS76
2002-01-03
ACORN: A System for CVS Macro Design by Tree Placement and Tree Customization.
596-602
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#HaugeY84
2003-05-16
Intelligent Resource Director.
567-586
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0567
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#RooneyKMY02
2002-01-03
Integration of Machine Organization and Control Program Design - Review and Direction.
247-256
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#RaoR83
2003-12-12
Design and characteristics of n-channel insulated-gate field-effect transistors.
70-83
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/critchlow.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#CritchlowDS00
2002-01-03
Statistical Failure Analysis of System Timing.
340-355
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#TryonAR84
2002-01-03
Storing and Evaluating Horn-Clause Rules in a Relational Database.
80-92
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#BoasB86
2003-05-16
Memory Expansion Technology (MXT): Competitive impact.
303-310
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/smith.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#SmithAPT01
2002-01-03
REQUEST: A Natural Language Question-Answering System.
326-335
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Plath76
2002-01-03
Automatic Scaling of Digital Print Fonts.
657-666
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#CaseyFW82
2002-01-03
Walsh Functions for Digital Impedance Relaying of Power Lines.
530-541
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Horton76
2003-05-16
Growth processes and phase transformations studied in situ transmission electron microscopy.
489-502
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/ross.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Ross00
2002-01-03
Reliability, Availability, and Serviceability of IBM Computer Systems: A Quarter Century of Progress.
453-465
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#HsiaoCTS81
2002-01-03
Laser Electrophotographic Printing Technology.
767-773
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#ElzingaHMW81
2002-01-03
Experimental Study of Deadline Scheduling for Interactive Systems.
263-269
1973
17
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#ChamberlinSW73
2002-01-03
Stability Criterion for Recursive Filters.
59-71
1974
18
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Pistor74
2002-01-03
Periodic Sequences with Optimal Properties for Channel Estimation and Fast Start-Up Equalization.
426-431
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Milewski83
2003-05-16
Characterization of constitutional liquid film migration in nickel-base alloy 718.
668-680
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/acoff.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AcoffT00
2002-01-03
Instruction Scheduling for the IBM RISC System/6000 Processor.
85-92
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#Warren90
2003-04-02
Timing Analysis of Computer Hardware.
100-105
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#SrSC82
2002-01-03
Conceptual Graphs for a Data Base Interface.
336-357
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Sowa76
2002-01-03
Interactive Language Implementation System.
28-39
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Sowa84
2003-05-16
Controlled collapse reflow chip joining.
93-105
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/miller.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Miller00
2003-05-16
The adaptive multilevel finite element solution of the Poisson-Boltzmann equation on massively parallel computers.
427-438
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/baker.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#BakerSHM01
2002-01-03
Algorithm and Hardware for a Merge Sort Using Multiple Processors.
509-532
1978
22
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#Todd78
2002-01-03
Dynamic Response of Self-Acting Foil Bearings.
513-520
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#StahlWD74
2002-01-03
Real-Time Signal Processor Software Support.
431-439
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#DaviesR82
2002-01-03
Iterative-Interactive Technique for Logic Partitioning.
328-337
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#HananMS74
2002-01-03
An Experimental Comparison of the Head/Disk Interface Dynamics in 5 1/4- and 8-Inch Disk Drives.
316-323
1985
29
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#BouchardBT85
2002-01-03
Design of the IBM RISC System/6000 Floating-Point Execution Unit.
59-70
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#MontoyeHR90
2002-01-03
An Analysis of Buffer Paging in Virtual Storage Systems.
518-520
1976
20
IBM J. Res. Dev.
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Tuel76
2002-01-03
OYSTER: A Study of Integrated Circuits as Three Dimensional Structures.
149-163
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#KoppelmanW83
2002-01-03
Design Issues and Architecture of HACIENDA, an Experimental Image Processing System.
116-126
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#FranchiGMPPS83
2003-05-16
First- and second-level packaging for the IBM eServer z900.
397-420
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0397
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#HarrerPWBSYACK02
2002-01-03
Computer Interference Analysis.
13-26
1973
17
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Chang73
2002-01-03
Bulk Queue Model for Computer System Analysis.
370-372
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Chang74
2002-01-03
Terminal Response Times in Data Communications Systems.
272-282
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Chang75
2002-01-03
Synchronization in a Wideband Optical Data Transmission System.
408-412
1971
15
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#Philipp-Rutz71
2002-01-03
Analysis of Correctable Errors in the IBM 3380 Disk File.
206-211
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Howell84
2002-01-03
Statistical Properties of Selected Recording Codes.
60-73
1989
33
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#Howell89
2002-01-03
Experiments on Page Size, Program Access Patterns, and Virtual Memory Performance.
58-66
1972
16
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#Hatfield72
2002-01-03
Pseudorandom Built-in Self-Test Methodology and Implementation for the IBM RISC System/6000 Processor.
78-84
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#RatiuB90
2006-06-09
Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review.
124-134
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#ChenH84
2002-01-03
Error Correcting Codes for Satellite Communication Channels.
168-175
1976
20
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#ChenR76
2002-01-03
Fault-Tolerant Memory Simulator.
184-195
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#ChenR84
2002-01-03
Storage Management Operations in Linked Uniform Shift Register Loops.
123-131
1976
20
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#ChenT76
2002-01-03
Optimum Storage Allocation for a File with Open Addressing.
106-114
1973
17
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Pool73a
2002-01-03
LEXX - A Programmable Structured Editor.
73-80
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Cowlishaw87
2002-01-03
A General Fixed Rate Arithmetic Coding Method for Constrained Channels.
107-115
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#ToddLM83
2002-01-03
Parameter Reduction and Context Selection for Compression of Gray-Scale Images.
188-193
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#ToddLR85
2002-01-03
Program Analysis and Code Generation in an APL/370 Compiler.
594-602
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Ching86
2003-05-16
Minimal-storage high-performance Cholesky factorization via blocking and recursion.
823-850
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/gustavson.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#GustavsonJ00
2003-05-16
S/390 microprocessor design.
899-908
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/webb.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Webb00
2002-01-03
Precise Manipulation with Endpoint Sensing.
363-376
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#TaylorHL85
2003-05-16
The future of CMOS technology.
369-378
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/isaac.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Isaac00
2003-05-16
Hot-electron effects and oxide degradation in MOS structures studied with ballistic electron emission microscopy.
517-534
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/ludeke.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Ludeke00
2002-01-03
Buffer Performance Analysis of Communication Processors During Slowdown at Network Control.
264-272
1977
21
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#ChowW77
2002-01-03
Dynamic Memories with Faster Random and Sequential Access.
281-287
1977
21
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#WongT77
2002-01-03
Data Organization in Magnetic Bubble Lattice Files.
576-581
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#WongY76
2002-01-03
Boolean Comparison of Hardware and Flowcharts.
106-116
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#SmithBH82
2004-02-18
Fast pseudorandom-number generators with modulus 2k or 2k - 1 using fused multiply-ad.
97-
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0097
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#AgarwalEGKZ02
2002-01-03
Innovations in the Design of Magnetic Tape Subsystems.
691-700
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#HarrisPWW81
2002-01-03
Analysis of the Berlekamp-Massey Linear Feedback Shift-Register Synthesis Algorithm.
204-212
1976
20
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Gustavson76
2002-01-03
Redundancy Management Technique for Space Shuttle Computers.
20-28
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Sklaroff76
2003-05-16
Beyond the conventional transistor.
133-168
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0133
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Wong02
2002-01-03
High-Speed Dynamic Programmable Logic Array Chip.
379-383
1975
19
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Wood75
2003-05-16
Embedding a secondary communication channel transparently within a cyclic redundancy check (CRC).
789-796
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/irvin.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Irvin01
2002-01-03
Preserving the Integrity of Cyclic-Redundancy Checks when Protected Text is Internationally Altered.
618-626
1989
33
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#Irvin89
2003-05-16
Picosecond imaging circuit analysis.
583-604
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/tsang.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#TsangKV00
2002-01-03
Automatic Structuring of Programs.
181-194
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Urschler75
2002-01-03
Seismic Migration on the IBM 3090 Vector Facility.
172-183
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#GazdagBSW86
2002-01-03
A Procedure for Implementing the Fast Fourier Transform on Small Computers.
355-363
1971
15
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#Hartwell71
2003-05-16
Irreversibility and heat generation in the computing process.
261-269
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/landauer.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Landauer00a
2002-01-03
Least-Squares Storage-Channel Identification.
310-320
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Cioffi86
2002-01-03
Voice-Exited Predictive Coder (VEPC) Implementation on a High-Performance Signal Processor.
147-157
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#GalandCPV85
2002-01-03
Evolution of Storage Facilities in AIX Version 3 for RISC System/6000 Processors.
105-110
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#ChangMRRP90
2002-01-03
Keybord Method for Composing Chinese Characters.
60-70
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Yhap75
2002-01-03
Combinatory Programming and Combinatorial Analysis.
450-461
1972
16
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#Burge72
2002-01-03
Stream Processing Functions.
12-25
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Burge75
2003-05-16
Multiobjective optimization of combinatorial libraries.
545-
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/agrafiotis.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Agrafiotis01
2002-01-03
Tailoring Programs to Models of Program Behavior.
244-251
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Ferrari75
2003-05-16
Bandwidth problems in high-speed networks.
919-938
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/ismail.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Ismail00
2002-01-03
General Arrays, Operators and Functions.
335-352
1973
17
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#GhandourM73
2002-01-03
Ferrite Film Recording Surfaces for Disk Recording.
556-562
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#ComstockM74
2002-01-03
Exact Analysis of Round-Robin Scheduling of Services.
484-487
1987
31
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Takagi87
2002-01-03
Microprocessors in Brief.
110-131
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Stanley85
2002-01-03
Innovations in Disk File Manufactoring.
711-723
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#MulvanyT81
2003-05-16
Strain measurement and numerical analysis of an epoxy adhesive subjected to thermal loads.
783-788
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/kuczynski.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#KuczynskiS01
2003-05-16
DELPHI: A pattern-based method for detecting sequence similarity.
455-474
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/floratos.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#FloratosRPG01
2002-01-03
Solution of Queuing Problems by a Recursive Technique.
295-300
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#HerzogWC75
2003-05-16
Applying recursion to serial and parallel QR factorization leads to better performance.
605-624
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/elmroth.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#ElmrothG00
2002-01-03
Conceptual Graphs for Semantics and Knowledge Processing.
70-79
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#FarguesLDC86
2003-05-16
Frequency response sensitivity functions for helicopter frequency domain system idetification.
748-758
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/jones.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#JonesC00
2002-01-03
SEQUEL 2: A Unified Approach to Data Definition, Manipulation, and Control.
560-575
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#ChamberlinAEGLMRW76
db/journals/ibmrd/ChamberlinAEGLMRW76.html
ibmrd/20/P560.pdf
2002-01-03
Reduction of Random Noise from Multiband Image Data Using Phase Relationships Among Their Fourier Coefficients.
399-411
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#DaveG84
2002-01-03
Software Implementations of the Q-Coder.
753-774
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#MitchellP88a
2002-01-03
Aspects of the Traveling Salesman Problem.
476-486
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#HeldHJW84
2002-01-03
A DC-Balanced, Partitioned-Block, 8B/10B Transmission Code.
440-451
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#WidmerF83
2002-01-03
Symmetric Stochastic Petri Nets.
278-293
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#PrisgroveS86
2003-05-16
QSAR in grossly underdetermined systems: Opportunities and issues.
533-544
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/platt.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#PlattPGFR01
2002-01-03
Efficient Randomized Pattern-Matching Algorithms.
249-260
1987
31
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#KarpR87
2003-05-16
The microarchitecture of the IBM eServer z900 processor.
381-396
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0381
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#SchwarzCSKSMK02
2003-05-16
LSS: A system for production logic synthesis.
157-166
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/darringer.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#DarringerBGJT00
2002-01-03
LSS: A System for Production Logic Synthesis.
537-545
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#DarringerBGJT84
2003-05-16
Hierarchical indexing data structure method for verifying the functionality of the STI-to-PCI bridge chips of the IBM eServer z900.
617-630
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0617
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#SilverioNA02
2003-05-16
The 801 minicomputer.
37-47
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/radin.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Radin00
2002-01-03
The 801 Minicomputer.
237-246
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Radin83
2003-05-16
LSI yield modeling and process monitoring.
112-118
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/stapper.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Stapper00
2002-01-03
LSI Yield Modeling and Process Monitoring.
228-234
1976
20
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Stapper76
2002-01-03
Modeling of Integrated Circuit Defect Sensitivities.
549-557
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Stapper83
2002-01-03
Modeling of Defects in Integrated Circuit Photolithographic Patterns.
461-475
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Stapper84
2002-01-03
Large-Area Fault Clusters and Fault Tolerance in VLSI Circuits: A Review.
162-173
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#Stapper89
2002-01-03
Arithmetic Codes for Constrained Channels.
94-106
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#MartinLT83
2002-01-03
The Evolution of the MVS Operating System.
471-482
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#AuslanderLS81
2002-01-03
Monte Carlo Photon Transport on a Vector Supercomputer.
193-202
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#MartinNR86
2002-01-03
Random-Walk Model of Stream Network Development.
197-203
1971
15
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#SmartM71
2002-01-03
Computation of Lower Bounds for Multiprocessor Schedules.
435-444
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#FernandezL75
2002-01-03
Scheduling as a Graph Transformation.
551-559
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#FernandezL76
2002-01-03
Dynamic Partitioning of the Main Memory Using the Working Set Concept.
445-450
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Ghanem75
2002-01-03
Skylab Attitude Control System.
58-66
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#CoonI76
2003-05-16
Medium-energy ion scattering for analysis of microelectronic materials.
571-582
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/copel.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Copel00
2002-01-03
A Flexible Graph-Unification Formalism and Its Application to Natural-Language Processing.
170-184
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#BoumaKU88
2003-05-16
IBM eServer z900 I/O subsystem.
421-446
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0421
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#StiglianiBCCGHMQW02
2002-01-03
Exclusive-OR Representations of Boolean Functions.
412-416
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#FleisherTY83
2002-01-03
<i>b, k</i>)-Adjacent Error-Correcting/Detecting Scheme for Supercomputer Systems.
159-169
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#ArlatC84
2003-05-16
The circuit and physical design of the POWER4 microprocessor.
27-52
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0027
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#WarnockKPCKKRZA02
2003-05-16
Flexible configuration and concurrent upgrade for the IBM eServer z900.
551-558
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0551
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#ProbstVAK02
2002-01-03
A Business Language.
732-746
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Denil80
2002-01-03
Regenerative Simulation of a Queuing Model of an Automated Tape Library.
463-475
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#LavenbergS75a
2006-09-05
Editor's Note.
1-2
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/note.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#X05
2002-01-03
Array Logic Macros.
120-126
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Jones75
2002-01-03
On Natural Language Based Computer Systems.
314-325
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Petrick76
2002-01-03
A Program Development Tool.
60-73
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#AlbergaBLMW84
2002-01-03
The IBM History of Memory Management Technology.
491-504
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#BeladyPS81
2006-06-20
Logic Synthesis Through Local Transformations.
272-280
1981
25
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#DarringerJBT81
2002-01-03
From the Fractal Dimension of the Intermiss Gaps to the Cache-Miss Ratio.
796-803
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#Thiebaut88
2002-01-03
Permutation Clustering: An Approach to On-Line Storage Reorganization.
528-533
1977
21
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#BennetF77
2002-01-03
LRU Stack Processing.
353-357
1975
19
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#BennetK75
2003-05-16
Notes on the history of reversible computation.
270-278
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/bennett.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Bennett00
2002-01-03
Model for Interactive Data Base Reference String.
550-556
1975
19
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Easton75
2002-01-03
Model for Database Reference Strings Based on Behavior of Reference Clusters.
197-202
1978
22
IBM J. Res. Dev.
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#Easton78
2002-01-03
Key-Sequence Data Sets on Inedible Storage.
230-241
1986
30
3
IBM Journal of Research and Development
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Easton86
2003-05-16
Reliability limits for the gate insulator in CMOS technology.
265-286
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0265
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Stathis02
2003-05-16
Miniaturization of electronics and its limits.
84-88
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/keyes.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Keyes00
2003-05-16
IBM Memory Expansion Technology (MXT).
271-286
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/tremaine.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#TremaineFRSSWB01
2002-01-03
Fourier Transform and Convolution Subroutines for the IBM 3090 Vector Facility.
145-162
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#AgarwalC86
2002-01-03
Probability Estimation for the Q-Coder.
737-752
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#PennebakerM88
2003-05-16
Logical reversibility.
807-818
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/zuliani.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Zuliani01
2003-05-16
Uninterruptible battery backup for IBM AS/400 systems.
763-770
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/steele.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Steele01
2002-01-03
SLAN-4: A Language for the Specification and Design of Large Software Systems.
558-576
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#BeichterHP83
2002-01-03
A Quarter Century of Disk File Innovation.
677-690
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#HarkerBPST81
2003-05-16
Siliconsgermanium-based mixed-signal technology for optimization of wired and wireless telecommunications.
391-408
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/meyerson.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Meyerson00a
2002-01-03
Performance Modeling of Earth Resources Remote Sensors.
29-39
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#KiddW76
2002-01-03
Approximate Analysis of General Queuing Networks.
43-49
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#ChandyHW75a
2002-01-03
Interfaces for Knowledge-Base Builders' Control Knowledge and Application-Specific Procedures.
29-38
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#HirschKMSS86
2003-05-16
Evaluating protein structure-prediction schemes using energy landscape theory.
475-498
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/eastwood.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#EastwoodHLW01
2002-01-03
Digital Halftoning of Images.
687-697
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#AnastassiouP82
2002-01-03
Conjugate-Gradient Subroutines for the IBM 3090 Vector Facility.
125-135
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#BrozoloV89
2003-05-16
PREVAIL-Electron projection technology approach for next-generation lithography.
615-638
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/dhaliwal.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#DhaliwalEGGKLPPRRST01
2002-01-03
Hand-Held Magnetoresistive Transducer.
541-546
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#BajorekCRT74
2006-09-05
RAS design for the IBM eServer z900.
503-522
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0503
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#AlvesFMCCWWMTF02
2003-05-16
Metabolic effects on recombinant interferon-gamma glycosylation in continuous culture of Chinese hamster ovary cells.
770-784
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/nyberg.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#NybergBFSW00
2002-01-03
Modeling and Image Processing for Visualization of Volcanic Mapping.
406-416
1989
33
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#PareschiB89
2002-01-03
APLGOL, an Experimental Structured Programming Language.
69-73
1973
17
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Kelley73
2002-01-03
Design of Experiments in Computer Performance Evaluation.
848-859
1981
25
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Schatzoff81
2003-05-16
Challenges and future directions for the scaling of dynamic random-access memory (DRAM).
187-222
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0187
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#MandelmanDBDDLR02
2002-01-03
An On-Line Chinese Character Recognition System.
187-195
1981
25
IBM Journal of Research and Development
2-3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#YhapG81
2002-01-03
Improved Optimization of FORTRAN Object Programs.
660-676
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#ScarboroughK80
2002-01-03
A Vectorizing Fortran Compiler.
163-171
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#ScarboroughK86
2002-01-03
Managing Multi-Version Programs with an Editor.
74-81
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Kruskal84
2002-01-03
Dependability Evaluation of a Class of Multi-Loop Topologies for Local Area Networks.
511-423
1989
33
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#SmithT89
2002-01-03
Design Considerations of a Static LSSD Polarity Hold Latch Pair.
370-378
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Correale84
2002-01-03
A Multi-Purpose VLSI Chip for Adaptive Data Compression of Bilevel Images.
775-795
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#ArpsTLPF88
2002-01-03
Arithmetic Coding.
149-162
1979
23
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#RissanenL79
2002-01-03
The Origin of the VM/370 Time-Sharing System.
483-490
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Creasy81
2002-01-03
A Heuristic Test-Pattern Generator for Programmable Logic Arrays.
15-22
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#EichelbergerL80
2002-01-03
Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test.
265-272
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#EichelbergerL83
2002-01-03
Fractal Nature of Software-Cache Interaction.
164-170
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#VoldmanMHKR83
2002-01-03
Improving the Computation of Lower Bounds for Optimal Schedules.
273-280
1977
21
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#LangF77
2003-05-16
Interfacing molecular dynamics with continuum dynamics in computer simulation: Toward an application to biological membranes.
417-426
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/ayton.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#AytonBMSV01
2002-01-03
The Perceptual Color Space of Digital Image Display Terminals.
127-132
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Santisteban83
2002-01-03
The IBM 3803/3420 Magnetic Tape Subsystem.
391-400
1971
15
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#IrwinCO71
2002-01-03
A Geometric Modeling System for Automated Mechanical Assembly.
64-74
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#WesleyLLLG80
2002-01-03
Voronoi Diagram for Multiply-Connected Polygonal Domains II: Implementation and Application.
373-381
1987
31
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#MeshkatS87
2002-01-03
A Japanese Sentence Analyzer.
238-250
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#MaruymaMUS88
2002-01-03
Structures of Rule-Based Belief Functions.
93-101
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#EddyP86
2002-01-03
White Light Interferometry of Elastohydrodynamic Lubrication of Foil Bearings.
521-528
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#VogelG74
2002-01-03
Language Facilities for Programming User-Computer Dialogues.
145-158
1978
22
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#LafuenteG78
2003-05-16
Adaptive Fast Path Architecture.
191-206
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/hu.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#HuJKLT01
2002-01-03
Analysis of Block-Paging Strategies.
51-59
1989
33
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#TetzlaffKG89
2002-01-03
Approximating Complex Surfaces by Triangulation of Contour Lines.
2-11
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Keppel75
2002-01-03
High-Speed Signal Propagation on Lossy Transmission Lines.
601-615
1990
34
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#DeutschKRCGGMNPRSSW90
2002-01-03
Parallel Solution of Recurrence Problems.
138-148
1974
18
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Kogge74
2002-01-03
Approximate Solution of Queueing Networks with Simultaneous Resource Possession.
894-903
1981
25
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Sauer81
2002-01-03
Adaptive Variation of the Transfer Unit in a Storage Hierarchy.
405-412
1978
22
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#FranaszekB78
2002-01-03
Reduction of Storage Fragmentation on Direct Access Devices.
140-148
1979
23
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#FranaszekC79
2003-05-16
On internal organization in compressed random-access memories.
259-270
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/franaszek.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#FranaszekR01
2002-01-03
Low-End General-Purpose Systems.
429-440
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Taylor81
2002-01-03
A Software Architecture for a Mature Design Automation System.
501-512
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Taylor84
2002-01-03
A Device-Independent Graphics Package for CAD Applications.
512-523
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#CapelliS84
2004-08-30
Large-Vocabulary Speech Recognition: A System for the Italian Language
217-226
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#DOrtaFMMSV88
2003-05-16
New insights into carrier transport in n-MOSFETs.
347-358
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0347
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#LochtefeldDSA02
2002-01-03
An Iterative-Improvement Penalty-Function-Driven Wire Routing System.
613-624
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Linsker84
2002-01-03
Integrated Manufacturing Modeling System.
343-355
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#EngelkeGSSSST85
2003-05-16
The "Millipede"-More than thousand tips for future AFM storage.
323-340
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/vettiger.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#VettigerDDDHLRSWB00
2002-01-03
Communication: Positive First-Order Logic is NP-Complete.
327-332
1981
25
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Kozen81
2003-05-16
A multithreaded PowerPC processor for commercial servers.
885-898
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/borkenhagen.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#BorkenhagenEKK00
2004-04-22
A performance methodology for commercial servers.
851-872
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/kunkel.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#KunkelELMORVVW00
2002-01-03
The IBM RISC System/6000 Processor: Hardware Overview.
12-22
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#BakogluGM90
2002-01-03
Computers and the Space Program: An Overview.
3-4
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Kraft76
2002-01-03
String Path Search Procedures for Data Base Systems.
408-422
1974
18
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#GhoshS74
2003-05-16
X-ray spectro-microscopy of complex materials and surfaces.
535-552
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/stöhr.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#StohrA00
2003-05-16
Preface.
667
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Garcia00
2003-05-16
Model studies of the structures, reacitivities, and reaction mechanisms of metalloenzymes.
367-396
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/morokuma.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MorokumaMVBTK01
2002-01-03
Column Access of a Bubble Lattice: Column Translation and Lattice Translation.
368-375
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#CalhounERS76
2002-01-03
The Average Complexity of Depth-First Search with Backtracking and Cutoff.
242-258
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#StoneS86
2002-01-03
Efficient Search Techniques - An Empirical Study of the N-Queens Problem.
464-474
1987
31
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#StoneS87
2002-01-03
Communication: A Number Representation Convertor for Magnetic Bubble String Comparators.
1981
25
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#BongiovanniW81
2002-01-03
Patterns in Program References.
230-243
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#FreibergerGS75
2003-05-16
Reduction of electromigration in aluminium films by copper doping.
89-92
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/ames.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AmesdH00
2003-05-16
Quantum crystallography, a developing area of computational chemistry extending to macromolecules.
409-416
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/huang.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#HuangMK01
2002-01-03
KWIRE: A Multiple-Technology, User-Reconfigurable Wiring Tool for VLSI.
603-612
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Elmendorf84
2003-05-16
Hardware configuration framework for the IBM eServer z900.
537-550
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0537
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BieswangerHKOSW02
2002-01-03
Documenting a Computer Architecture.
257-264
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Wright83
2002-01-03
Bounds for Weight Balanced Trees.
101-105
1973
17
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Rissanen73
2002-01-03
Generalized Kraft Inequality and Arithmetic Coding.
198-203
1976
20
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Rissanen76
2002-01-03
Efficient Evaluation of Array Subscripts of Arrays.
45-57
1972
16
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#HassittL72
2002-01-03
Numerical Analysis of the Shielded Magnetoresistive Head.
551-555
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#ColePLDV74
2002-01-03
An Improved Segmentation and Coding Algorithm for Binary and Nonbinary Images.
698-707
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Danielsson82
2003-05-16
Proactive management of software aging.
311-332
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/castelli.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#CastelliHHHTVZ01
2002-01-03
A Many-Valued Logic for Approximate Reasoning.
552-565
1988
32
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#Zenzo88
2002-01-03
An Access Specification Language for a Relational Data Base System.
286-298
1979
23
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#LorieN79
db/journals/ibmrd/LorieN79.html
ibmrd/23/P286.pdf
2002-01-03
System Validation by Three-Level Modeling Synthesis.
166-174
1971
15
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#DukeSW71
2003-05-16
Stability of nonlinear polynomial ARMA models and their inverse.
725-747
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/hernández.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#HernandezA00
2003-05-16
Organic electronics: Introduction.
3-10
2001
45
IBM Journal of Research and Development
1
http://dx/doi.org/10.1147/rd.451.0003
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#ShawS01
2003-05-16
Conducting polymers in microelectronics.
57-76
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/angelopoulos.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Angelopoulos01
2002-01-03
Block-Oriented Information Compression.
141-145
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#LingP75
2002-01-03
Switching Speeds in Magnetic Tapes.
576-578
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#ThornleyW74
2002-01-03
An Introduction to Arithmetic Coding.
135-149
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Langdon84
2002-01-03
Image Processing Applications for Geologic Mapping.
177-187
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#AbramsBCNR85
2002-01-03
Image Data Compression by Predictive Coding I: Prediction Algorithms.
164-171
1974
18
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#KobayashiB74
2002-01-03
Jitter Accommodation in Token-Passing Ring LANs.
580-587
1985
29
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#BatesS85
2003-05-16
Synchrotron X-ray scattering techniques for microelectronics-related materials studies.
457-476
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/jordansweet.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Jordan-Sweet00
2002-01-03
A New Programming Methodology for Long-Lived Software Systems.
52-59
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#StromH84
2002-01-03
A Local Communications Network Based on Interconnected Tocen-Access Rings: A Tutorial.
481-496
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Strole83
2002-01-03
Functional Dependencies in a Relational Data Base and Propositional Logic.
543-544
1977
21
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#Fagin77
2002-01-03
Data Base Technology.
505-519
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#McGee81
2003-05-16
POWER4 system microarchitecture.
5-26
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0005
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#TendlerDFLS02
2002-01-03
AQL: A Problem-Solving Query Language for Relational Data Bases.
541-559
1978
22
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#AntonacciDST78
2002-01-03
Custom Chip/Card Design System.
590-595
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#BaroneM84
2003-05-16
Infrastructure requirements for a large-scale, multi-site VLSI development project.
87-96
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0087
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#RodgersBBBC02
2003-05-16
Atomic resolution analytical microscopy.
477-488
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/batson.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Batson00
2003-05-16
Self-timed interface of the input/output subsystem of the IBM eServer z900.
447-460
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0447
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#HokeBLLPS02
2002-01-03
Using a Hardware Simulation Engine for Custom MOS Structured Designs.
564-571
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#BarzilaiBHS84
2003-05-16
CMOS scaling beyond the 100-nm node with silicon-dioxide-based gate dielectrics.
287-298
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0287
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#WuNVLH02
2002-01-03
Binary-Image-Manipulation Algorithms in the Image View Facility.
16-31
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#AndersonMGPMP87
2002-01-03
Mapping Uninterpreted Schemes into Entity-Relationship Diagrams: Two Applications to Conceptual Schema Design.
82-94
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#CasanovaS84
2002-01-03
An Algorithm for Carrier Routing in a Flexible Material-Handling System.
356-362
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Heines85
2002-01-03
A Microprocessor for Signal Processing, the RSP.
413-423
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#MintzerP82
2002-01-03
Compilation to Compact Code.
684-691
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Marks80
2003-05-16
Cryptography.
246-250
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/coppersmith.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Coppersmith00
2002-01-03
Cryptography.
244-248
1987
31
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Coppersmith87
2003-05-16
Modular server frame with robust earthquake retention.
771-782
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/notohardjono.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#NotohardjonoCMMW01
2003-05-16
High-throughout coherence control and hardware messaging in Everest.
229-244
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/nanda.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#NandaNMJ01
2002-01-03
Thermally Induced Pulses in Magnetoresistive Heads.
547-550
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Hempstead74
2002-01-03
All Points Addressable Raster Display Memory.
379-392
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#MatickLGD84
2003-05-16
Monolithic packaging concepts for high isolation in circuits and antennas.
715-724
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/drayton.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#DraytonHK00
2002-01-03
Comment on ``Segment Synthesis in Logical Data Base Design''.
412
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Bernstein76a
2002-01-03
System Development and Technology Aspects of the IBM 3081 Processor Complex.
2-11
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#PittlerPS82
2002-01-03
Cursive Script Recognition by Elastic Matching.
765-771
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Tappert82
2002-01-03
The Software-Cache Connection.
877-893
1981
25
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#VoldmanH81
2002-01-03
Iterative Exhaustive Pattern Generation for Logic Testing.
212-219
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#TangC84
2002-01-03
Leading-Zero Anticipator (LZA) in the IBM RISC System/6000 Floating-Point Execution Unit.
71-77
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#HokenekM90
2002-01-03
Axisymmetric Motion of Radially Polarized Piezoelectric Cylinder Used in Ink Jet Printing.
171-180
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#BugdayciBT83
2002-01-03
Parametric Analysis of Queuing Networks.
36-42
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#ChandyHW75
2002-01-03
Sputter-Etching of Heterogeneous Surfaces.
67-70
1972
16
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#MaisselSG72
2002-01-03
Measures of Ideal Execution Architectures.
356-369
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#FlynnH84
2003-05-16
z/CECSIM: An efficient and comprehensive microcode simulator for the IBM eServer z900.
607-616
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0607
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#ButtlarBEHKSST02
2002-01-03
Best and Worst Mappings for the Omega Network.
452-463
1987
31
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Cvetanovic87a
2002-01-03
Scheme for Invalidating References to Freed Storage.
26-35
1975
19
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Lomet75
2002-01-03
Objects and Values: The Basis of a Storage Model for Procedural Languages.
157-167
1976
20
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Lomet76
2002-01-03
Data Flow Analysis in the Presence of Procedure Calls.
559-571
1977
21
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#Lomet77
2002-01-03
A Data Definition Facility Based on a Value-Oriented Storage Model.
764-782
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Lomet80
2002-01-03
Evolution of Small Real-Time IBM Computer Systems.
441-452
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#HarrisonLC81
2002-01-03
Storage Hierarchy Optimization Procedure.
133-140
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#McDonaldS75
2002-01-03
Infrared Laser Interferometer for Measuring Air-Bearing Separation.
529-533
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#FleischerL74
2002-01-03
Microcoded Modem Transmitters.
338-351
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#ChoquetN74
2002-01-03
Performance Analysis of Suspend Locks in Operating Systems.
242-259
1982
26
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#HofmannS82
2002-01-03
Multifont OCR Postprocessing System.
398-421
1975
19
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#RosenbaumH75
2002-01-03
A Language for Extended Queuing Network Models.
747-755
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#SauerMS80
2002-01-03
Performance Analysis of Future Shared Storage Systems.
95-108
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#GoyalA84
2002-01-03
A VLSI Design Verification Strategy.
475-484
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#TranFL82
2002-01-03
Design of Large ALUs Using Multiple PLA Macros.
2-14
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Schmokler80
2002-01-03
The Design of APL.
324-334
1973
17
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#FalkoffI73
2002-01-03
A Fault-Tolerant System Architecture for Navy Applications.
219-236
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Comfort83
2002-01-03
Image Processing by Simulated Annealing.
569-579
1985
29
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#CarnevaliCP85
2002-01-03
Microtasking on IBM Multiprocessors.
574-582
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#CarnevaliSZ86
2002-01-03
Geometric Tolerancing: I. Virtual Boundary Requirements.
90-104
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#JayaramanS89
2002-01-03
Instruction Scheduling Beyond Basic Blocks.
93-97
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#GolumbicR90
2002-01-03
Generating Test Examples for Heuristic Boolean Minimization.
459-464
1974
18
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#OstapkoH74
2006-09-26
IBM eServer z900 high-frequency microprocessor technology, circuits, and design methodology.
631-
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0631
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#CurranCWCNHLEHS02
2003-05-16
Experience with building a commodity Intel-based ccNUMA system.
207-228
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/brock.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#BrockCCDBEFGGPRRRRR01
2002-01-03
Implementing a Semantic Interpreter Using Conceptual Graphs.
57-69
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#SowaW86
2003-05-16
Organic thin-film transistors: A review of recent advances.
11-28
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/dimitrakopoulos.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#DimitrakopoulosM01
2003-05-16
Development and attributes of z/Architecture.
367-380
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0367
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#PlambeckERW02
2002-01-03
Procedural Representation of Three-Dimensional Objects.
582-589
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Grossman76
2002-01-03
An Interactive System for VLSI Chip Physical Design.
524-537
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#ElderZA84
2002-01-03
What Is a Multilevel Array?
163-169
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#RosenbergT75
2003-05-16
Contributions of IBM to laser science-1960 to the present.
294-
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/sorokin.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Sorokin00
2002-01-03
Aerodynamic Aspects of Disk Files.
480-488
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Lennemann74
2002-01-03
System Formulation and APL Shared Variables.
353-359
1973
17
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#Lathwell73
2002-01-03
Comment on ``Segment Synthesis in Logical Data Base Design''.
290
1976
20
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Wiederhold76
2002-01-03
Fault-Tolerant Design Techniques for Semiconductor Memory Applications.
177-183
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Aichelmann84
2002-01-03
Formal Semantics of Programming Languages: VDL.
549-561
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Lucas81
2002-01-03
Real-Time Orbiter Abort Guidance.
84-88
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Sohoni76
2003-05-16
The future of interconnection technology.
379-390
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/theis.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Theis00
2003-09-16
Printing meets lithography: Soft approaches to high-resolution patterning.
697-
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/michel.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MichelBBDGJKRRSSSW01
2002-01-03
PSI: A Symbolic Layout System.
572-580
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#FiebrichLKA84
2002-01-03
Optimal Hardware and Software Arithmetic Coding Procedures for the Q-Coder.
727-736
1988
32
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#MitchellP88
2002-01-03
Regenerative Simulation of Networks of Queues with General Service Times: Passage Through Subnetworks.
625-633
1982
26
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#ShedlerS82
2002-01-03
On Proving Correctness of Microprograms.
250-266
1974
18
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Birman74
2002-01-03
Computer Modeling in Energy and the Environment.
571-580
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Flatt81
2002-01-03
Efficient Algorithm for the Partitioning of Trees.
217-224
1974
18
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Lukes74
2002-01-03
Combinatiorial Solution to the Partitioning of General Graphs.
170-180
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Lukes75
2002-01-03
A General Methodology for Data Conversion and Restructuring.
483-497
1976
20
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#LumSH76
2002-01-03
Comment on ``Bulk Queue Model for Computer System Analysis''.
424-425
1975
19
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Stacy75
2003-05-16
Hidden Markov models in biological sequence analysis.
449-454
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/birney.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#Birney01
2002-01-03
Design Automation and the Programmable Logic Array Macro.
23-31
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#GoldenLL80
2006-09-05
Low-temperature Si and Si: Ge epitaxy by ultrahigh vacuum/chemical vapor deposition: Process fundamentals.
132-141
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/meyerson.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Meyerson00
2002-01-03
Comment on ``Decomposition of a Data Base and the Theory of Boolean Switching Functions''.
484-485
1977
21
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#DelobelCB77
2002-01-03
An Algorithm for Automatic Identification of R-Fields in Bond Graphs.
382-390
1987
31
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#HoodRWZ87
2002-01-03
On Future-Dependent Block Coding for Input-Restricted Channels.
75-81
1979
23
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#Franaszek79
2002-01-03
Synchronous Bounded Delay Coding for Input Restricted Channels.
43-48
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Franaszek80
2002-01-03
Construction of Bounded Delay Codes for Discrete Noiseless Channels.
506-514
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Franaszek82
2002-01-03
Address-Independent Routing for Local Networks.
464-471
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Franaszek83
2002-01-03
Coding for Constrained Channels: A Comparison of Two Approaches.
602-608
1989
33
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#Franaszek89
2002-01-03
An Experimental Computer Architecture Supporting Expert Systems and Logic Programming.
102-111
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#DielLW86
2002-01-03
Optimal Scheduling Strategies for Real-Time Computers.
494-504
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Herzog75
2002-01-03
History of IBM's Technical Contributions to High Level Programming Languages.
520-534
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Sammet81
2002-01-03
Stephen S. Lavenberg, Thomas G. Price: Exploratory Analysis of Access Path Length Data for a Data Base Management System.
449-464
1976
20
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Gaver76
2003-05-16
Parallel image processin gwith the block data paralel architecture.
681-702
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/alexander.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AlexanderRG00
2002-01-03
Image Data Compression by Predictive Coding II: Encoding Algorithms.
172-179
1974
18
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#KobayashiB74a
2002-01-03
Performance Analysis of the FFT Algorithm on a Shared-Memory Parallel Architecture.
435-451
1987
31
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Cvetanovic87
2002-01-03
On the Complexity of Permuting Records in Magnetic Bubble Memory Systems.
75-84
1980
24
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#ChungLW80
2003-05-16
Custom circuit design as a driver of microprocessor performance.
799-822
2000
44
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/446/allen.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AllenDHLNSW00
2003-05-16
Some studies in machine learning using the game of checkers.
206-227
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/samuel.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Samuel00
2002-01-03
Architecture of a Digital Signal Processor.
132-139
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#UngerboeckMKCB85
2003-05-16
Chemical and physical aspects of the post-exposure baking process used for positive-tone chemically amplified resists.
667-682
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/hinsberg.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#HinsbergHSW01
2002-01-03
IBM Typewriter Innovation.
729-740
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#BeattieR81
2002-01-03
Large-Scale Scientific Application Programs in Chemistry and Physics on an Experimental Parallel Computer System.
422-432
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#CorongiuD85
2003-09-16
Scanning tunneling microscopy.
279-293
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/binnig.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#BinnigR00
2005-01-26
Nanosecond switching in thin magnetic films.
167-174
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/dietrich.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#DietrichPW00
2002-01-03
Scheduling Algorithms for Flexible Flow Lines.
401-412
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Wittrock85
2003-05-16
Holographic data storage technology.
341-368
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/ashley.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AshleyBBCGHJMMSS00
2002-01-03
A Class of Numerical Methods for the Computation of Pythagorean Sums.
582-589
1983
27
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Dubrulle83
2002-01-03
Investigation Into Scheduling for an Interactive Computing System.
125-137
1974
18
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#AndersonS74
2002-01-03
Computation of Convolutions and Discrete Fourier Transforms by Polynomial Transforms.
134-144
1978
22
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#NussbaumerQ78
2002-01-03
Microprocessor Implementation of Mainframe Processors by Means of Architecture Partitioning.
401-412
1982
26
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#AgnewK82
2002-01-03
A General-Purpose Memory Reliability Simulator.
196-205
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#LibsonH84
2002-01-03
Segmentation Methods for Recognition of Machine-Printed Characters.
153-165
1971
15
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#HoffmanM71
2002-01-03
Frames, Semantic Networks, and Object-Oriented Programming in APL2.
502-510
1989
33
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#Alfonseca89
2002-01-03
The Experimental Compiling System.
695-715
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#AllenCFFHLT80
2002-01-03
Simulation of Non-Markovian Systems.
472-480
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#IglehartS83
2002-01-03
Resist Profile Control in E-Beam Lithography.
454-460
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Gillespie84
2003-05-16
Computer simulations for organic light-emitting diiodes.
101-114
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/curioni.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#CurioniA01
2003-05-16
A hierarchical, building-block-based computational scheme for protein structure prediction.
513-524
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/tsai.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#TsaiMSKWN01
2002-01-03
Geometric Tolerancing: II. Conditional Tolerances.
105-124
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#SrinivasanJ89
2002-01-03
Voronoi Diagram for Multiply-Connected Polygonal Domains I: Algorithm.
361-372
1987
31
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#SrinivasanN87
2002-01-03
Algorithmic Information Theory.
350-359
1977
21
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#Chaitin77
2003-05-16
Approximate performance of periodic hypersonic cruise trajectories for global reach.
703-714
2000
44
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/445/carter.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#CarterPR00
2002-01-03
A Fair Carpool Scheduling Algorithm.
133-139
1983
27
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#FaginW83
2003-05-16
Power-constrained CMOS scaling limits.
235-344
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0235
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Frank02
2002-01-03
Early Error Detection in Syntax-Driven Parsers.
617-626
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#Moura86
2003-05-16
Functional verification of the POWER4 microprocessor and POWER4 multiprocessor system.
53-76
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0053
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#LuddenRHRJCBBPABKKLLMMNPPRSTVW02
2002-01-03
Design Innovations of the IBM 3830 and 2835 Storage Control Units.
11-18
1972
16
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#AhearnDS72
2002-01-03
Document Convergence in an Interactive Formatting System.
58-72
1987
31
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#Chamberlin87
2002-01-03
System/370 Extended Architecture: The Channel Subsystem.
206-218
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#CormierDG83
2003-05-16
Hyper-acceleration and HW/SW co-verification as an essential part of IBM eServer z900 verification.
597-606
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0597
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#KayserKS02
2002-01-03
Design Verification System for Large-Scale LSI Designs.
89-99
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#Monachino82
2002-01-03
System/360 and Bayond.
377-390
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#Padegs81
2002-01-03
System/370 Extended Architecture: Design Considerations.
198-205
1983
27
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Padegs83
2003-05-16
Fault-tolerant design of the IBM pSeries 690 system using POWER4 processor technology.
77-86
2002
46
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.461.0077
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BossenKRF02
2005-04-25
Conceptual Graphs for the Analysis and Generation of Sentences.
251-267
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#VelardiPG88
2003-05-16
Determination of optimal Chebyshev-expanded hydrophobic discrimination function for globular proteins.
525-532
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/fain.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#FainXL01
2003-05-16
Protein flexibility and electrostatic interactions.
499-512
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/kumar.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#KumarWN01
2002-01-03
Managing Programs and Libraries in AIX Version 3 for RISC System/6000 Processors.
98-104
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#Auslander90
2003-05-16
Analytical analysis of finite cache penalty and cycles per instruction of a multiprocessor memory hierarchy using miss rates and queuing theory.
819-842
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/matick.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MatickHI01
2002-01-03
Architecture, Design, and Operating Characteristics of a 12-ns CMOS Functional Cache Chip.
524-539
1989
33
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#MatickMR89
2002-01-03
Digital Image Processing of Earth Observation Sensor Data.
40-57
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Bernstein76
2002-01-03
Hardware Design and Description Languages in IBM.
557-563
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#MaisselO84
2002-01-03
Representing Knowledge with Functions and Boolean Arrays.
627-646
1989
33
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#FordyceJSS89
2002-01-03
Spelling Assistance for Compound Words.
195-200
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#FrischZ88
2002-01-03
Approximate Analysis of Central Server Models.
301-313
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#SauerC75
2003-05-16
The Random-Access Memory Accounting Machine II. The magnetic-disk, random-access memory.
16-20
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/noyes.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#NoyesD00
2003-05-16
Coupling I/O channels for the IBM eServer z900: Reengineering required.
461-474
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0461
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#GreggE02
2003-05-16
Low-energy electron microscopy.
503-516
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/tromp.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Tromp00a
2002-01-03
Decomposition of a Data Base and the Theory of Boolean Switching Functions.
374-386
1973
17
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd17.html#DelobelC73
2002-01-03
IBM RISC System/6000 Processor Architecture.
23-36
1990
34
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd34.html#OehlerG90
2002-01-03
Transition from Boundary Lubrication to Hydrodynamic Lubrication of Slider Bearings.
534-540
1974
18
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#TsengT74
2003-05-16
Preface.
454-456
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Tromp00
2002-01-03
A Prototype Manufacturing Knowledge Base in Syllog.
413-421
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#FellensteinGPWW85
2002-01-03
Discrete Link Capacity and Priority Assignments in Communication Networks.
254-263
1977
21
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#MaruyamaT77
2002-01-03
Regenerative Simulation Methods for Local Area Computer Networks.
194-205
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#HaasS85
2003-05-16
Memory Expansion Technology (MXT): Software support and performance.
287-302
2001
45
IBM Journal of Research and Development
2
http://www.research.ibm.com/journal/rd/452/abali.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#AbaliFPSSHS01
2002-01-03
High-Speed Programmable Logic Array Adders.
163-178
1979
23
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#Weinberger79
2002-01-03
Optimal Task Switching Policy for a Multilevel Storage System.
310-315
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Kaneko74
2003-05-16
The alternate support element, a high-availability service console for the IBM eServer z900.
559-566
2002
46
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.464.0559
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#ValentineWE02
2002-01-03
Large Space Telescop.
67-74
1976
20
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Hudson76
2002-01-03
Combined Network Complexity Measures.
15-27
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#HallP84
2003-05-16
DFT-based molecular dynamics as a new tool for computational biology: First applications and perspective.
397-408
2001
45
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/453/andreoni.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#AndreoniCM01
2002-01-03
Design and Performance of a Magnetic Head for a High-Density Tape Drive.
270-277
1986
30
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#CannonDSSS86
2002-01-03
Analysis of Exception Data in a Staging Hierarchy.
423-435
1974
18
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#GaverLS74
2003-05-16
Process requirements for continued scaling of CMOS-the need and prospects for atomic-level manipulation.
317-338
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0317
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Agnello02
2002-01-03
A Method for Generating Weighted Random Test Patterns.
149-161
1989
33
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd33.html#WaicukauskiLEF89
2003-05-16
The future of magnetic data storage technology.
311-322
2000
44
IBM Journal of Research and Development
3
http://www.research.ibm.com/journal/rd/443/thompson.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#ThompsonB00
2002-01-03
Optimizing Preventive Service of Software Products.
2-14
1984
28
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Adams84
2002-01-03
Hardware Implementation of a Small System in Programmable Logic Arrays.
110-119
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#LogueBHJW75
2002-01-03
Multilevel Decoding for Very-Large-Size-Dictionary Speech Recognition.
227-237
1988
32
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#Merialdo88
2003-05-16
Colloidal synthesis of nanocrystals and nanocrystal superlattices.
47-56
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/murray.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#MurraySGDBK01
2002-01-03
Color Display and Interactive Interpretation of Three-Dimensional Data.
356-366
1983
27
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#Farrell83
2002-01-03
Analysis of Page-Reference Strings of an Interactive System.
523-535
1988
32
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd32.html#KienzleGT88
2003-05-16
Spin-polarized scanning electron microscopy.
553-570
2000
44
IBM Journal of Research and Development
4
http://www.research.ibm.com/journal/rd/444/allenspach.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Allenspach00
2002-01-03
Queuing Networks with Multiple Closed Chains: Theory and Computational Algorithms.
283-294
1975
19
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#ReiserK75
2002-01-03
A Theory for the Representation of Knowledge.
39-56
1986
30
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#GuenthnerLS86
2002-01-03
An Introduction to Array Logic.
98-109
1975
19
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#FleisherM75
2002-01-03
Heuristic Design Algorithm for Computer Communication Networks with Different Classes of Packets.
360-369
1977
21
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#MaruyamaFT77
2002-01-03
On-Line Measurement of Paging Behavior by the Multivalued MIN Algorithm.
2-19
1974
18
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#BeladyP74
2003-05-16
Microdisplays based upon organic light-emitting diodes.
115-
2001
45
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/451/howard.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#HowardP01
2002-01-03
New Scalar and Vector Elementary Functions for the IBM System/370.
126-144
1986
30
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#AgarwalCGSST86
2002-01-03
IBM 3081 Processor Unit: Design Considerations and Design Process.
12-21
1982
26
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#GustafsonS82
2002-01-03
Compiling Optimized Code from Decision Tables.
489-503
1972
16
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd16.html#Myers72
2002-01-03
Optimization and Code Generation in a Compiler for Several Machines.
677-683
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#BoyleMS80
2002-01-03
Some Techniques for Compile-Time Analysis of User-Computer Interactions.
716-731
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#Lafuente80
2002-01-03
Personal Instrument (PI) - A PC-Based Signal Processing System.
158-169
1985
29
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#Shichman85
2002-01-03
Design of a Swinging Arm Actuator for a Disk File.
389-397
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Heath76
2004-02-06
Architecture of the IBM System/360.
21-36
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/amdahl.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#AmdahlBB00
2002-01-03
Grammar Characterization of Flowgraphs.
756-763
1980
24
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd24.html#BecerrilBCV80
2002-01-03
Animation and 3D Color Display of Multiple-Variable Data: Application to Semiconductor Design.
302-315
1985
29
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#FarrellLCB85
2003-05-16
A high track-density servo-access system for magnetic recording disk storage.
175-185
2000
44
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/441/hoagland.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd44.html#Hoagland00
2002-01-03
Real-Time Systems for Federal Applications: A Review of Significant Technological Developments.
405-416
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#OlsenO81
2002-01-03
An Automatic Overlay Generator.
603-608
1986
30
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd30.html#CytronL86
2002-01-03
Register Assignment Algorithm for Generation of Highly Optimized Object Code.
20-39
1974
18
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Beatty74
2002-01-03
Analysis of Linear Interpolation Schemes for Bi-Level Image Applications.
667-680
1982
26
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd26.html#AbdouW82
2002-01-03
Transform Techniques for Error Control Codes.
299-315
1979
23
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd23.html#Blahut79
2002-01-03
A Universal Reed-Solomon Decoder.
150-158
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Blahut84
2002-01-03
AUTOPASS: An Automatic Programming System for Computer Controlled Mechanical Assembly.
321-333
1977
21
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd21.html#LiebermanW77
2002-01-03
Automatic Programming Through Natural Language Dialogue: A Survey.
302-313
1976
20
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#Heidorn76
2002-01-03
A Mapping and Memory Chip Hardware which Provides Symmetric Reading/Writing of Horizontal and Vertical Lines.
393-398
1984
28
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#Ostapko84
2002-01-03
Determining Hit Ratios for Multilevel Hierarchies.
316-327
1974
18
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd18.html#Gecsei74
2002-01-03
Printer Technology in IBM.
755-766
1981
25
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd25.html#NickelK81
2003-05-16
Determination of fractal dimensions from equivalent L systems.
797-806
2001
45
IBM Journal of Research and Development
6
http://www.research.ibm.com/journal/rd/456/alfonseca.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#AlfonsecaO01
2002-01-03
A Machine-Independent APL Interpreter.
413-421
1978
22
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd22.html#AlfonsecaT78
2002-01-03
Parallel Algorithms for Chip Placement by Simulated Annealing.
391-402
1987
31
IBM Journal of Research and Development
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd31.html#DaremaKN87
2002-01-03
A CMOS LSSD Test Generation System.
625-635
1984
28
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#LeetSF84
2002-01-03
Interleaving Slow- and Rapid-Data-Rate Experiments with a Time-Sharing Laboratory Automation System.
293-295
1971
15
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd15.html#Grant71
2003-05-16
Maintaining the benefits of CMOS scaling when scaling bogs down.
169-186
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0169
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Nowak02
2006-06-09
Fault Alignment Exclusion for Memory Using Address Permutation.
170-176
1984
28
IBM Journal of Research and Development
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd28.html#BossenCH84
2002-01-03
Sequential Server Queues for Computer Communication System Analysis.
476-485
1975
19
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd19.html#Chang75a
2002-01-03
Buffer Overflow in a Store-and-Forward Network Node.
542-550
1976
20
IBM Journal of Research and Development
6
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd20.html#SchweitzerL76
2003-05-16
SOI technology for the GHz era.
121-132
2002
46
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.462.0121
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#Shahidi02
2003-05-16
Background Data Movement in a Log-Structured Disk Subsystem.
0-
1994
38
IBM Journal of Research and Development
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd38.html#McNutt94
2002-01-03
Short-Term Production Scheduling of an Automated Manufacturing Facility.
392-400
1985
29
IBM Journal of Research and Development
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd29.html#GershwinAC85
2002-01-03
Some Methods for Providing OSI Transport in SNA.
452-463
1983
27
IBM Journal of Research and Development
5
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd27.html#FrancoisP83
2003-05-16
Review of technology for 157-nm lithography.
605-614
2001
45
IBM Journal of Research and Development
5
http://www.research.ibm.com/journal/rd/455/bates.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd45.html#BatesRBFKLS01
2006-07-28
Early analysis tools for system-on-a-chip design.
691-708
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0691
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#DarringerBBBHMNSS02
2006-07-28
Preface.
709-710
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0709
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#CozzolinoS02
2006-08-21
Embedded DRAM design and architecture for the IBM 0.11-µm ASIC offering.
675-690
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0675
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BarthDNAPJNLB02
2006-07-28
Preface.
647-
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0647
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#ReevesR02
2006-07-28
The IBM ASIC/SoC methodology - A recipe for first-time success.
649-660
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0649
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#DoerreL02
2006-07-28
An advanced multichip module (MCM) for high-performance UNIX servers.
779-804
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0779
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#KnickerbockerPTTWNHHHSRPFRLMCBGEZISL02
2006-07-28
Land grid array sockets for server applications.
763-778
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0763
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#CorbinRM02
2006-07-28
High-end server low-temperature cooling.
739-752
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0739
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#SchmidtN02
2006-07-28
Issues and strategies for the physical design of system-on-a-chip ASICs.
661-674
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0661
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#BednarBDGZ02
2006-07-28
A power, packaging, and cooling overview of the IBM eServer z900.
711-738
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0711
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#SinghABBCGINNQSSW02
2006-07-28
Design and analysis of a scheme to mitigate condensation on an assembly used to cool a processor module.
753-762
2002
46
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.466.0753
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd46.html#EllsworthSA02
2006-08-31
IBM Intelligent Bricks project - Petabytes and beyond.
181-198
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0181
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#WilckeGFFGGKHMRBWZHFHLSRGPRKL06
2006-08-31
HeapMon: A helper-thread approach to programmable, automatic, and low-overhead memory bug detection.
261-276
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0261
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#ShettyKSP06
2006-08-31
High-quality ISA synthesis for low-power cache designs in embedded microprocessors.
299-310
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0299
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#ChengT06
2006-08-31
Two-level BEOL processing for rapid iteration in MRAM development.
41-54
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0041
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#GaidisONLKTWAMWG06
2006-08-31
Limited switch dynamic logic circuits for high-speed low-power circuit design.
277-286
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0277
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#BelluominiJMMMNS06
2006-08-31
Design considerations for MRAM.
25-40
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0025
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#MaffittDGGLPWWG06
2006-08-31
Development of the magnetic tunnel junction MRAM at IBM: From first junctions to a 16-Mb MRAM demonstrator chip.
5-24
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0005
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#GallagherP06a
2006-08-31
Systems research challenges: A scale-out perspective.
173-180
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0173
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#AgerwalaG06
2006-08-31
Highly efficient room-temperature tunnel spin injector using CoFe/MgO(001).
111-120
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0111
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#JiangWSP06
2006-08-31
Preface.
169-171
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0169
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#AltmanS06
2006-08-31
Modeling wire delay, area, power, and performance in a simulation infrastructure.
311-320
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0311
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#CarterH06
2006-08-31
Spintronics - A retrospective and perspective.
101-110
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0101
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#WolfCT06
2006-08-31
Reliability of modular mesh-connected intelligent storage brick systems.
199-208
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0199
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#FleinerGHRKWG06
2006-08-31
Preface.
3-
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0003
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#GallagherP06
2006-08-31
Bipolar spintronics: Fundamentals and applications.
121-140
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0121
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#ZuticFE06
2006-08-31
Toward dissipationless spin transport in semiconductors.
141-148
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0141
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#BernevigZ06
2006-08-31
Building web services for scientific grid applications.
249-260
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0249
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#KandaswamyFHSMG06
2006-08-31
Decomposing the load-store queue by function for power reduction and scalability.
287-298
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0287
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#BaughZ06
2006-08-31
An approximation to the greedy algorithm for differential compression.
149-166
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0149
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#AgarwalGJA06
2006-08-31
Rapid-turnaround characterization methods for MRAM development.
55-68
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.496.0055
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#AbrahamTW06
2006-08-31
Self-adapting numerical software (SANS) effort.
223-238
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0223
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#DongarraBCEFFLLPSYV06
2006-08-31
Single-domain model for toggle MRAM.
69-80
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0069
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#Worledge06
2006-09-05
Application of full-system simulation in exploratory system design and development.
321-332
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0321
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#PetersonBCEGJKMMMNRRSSZ06
2006-08-31
Spin angular momentum transfer in current-perpendicular nanomagnetic junctions.
81-100
2006
50
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.501.0081
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#Sun06
2006-08-31
Braids and fibers: Language constructs with architectural support for adaptive responses to memory latencies.
209-222
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0209
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#BaconS06
2006-08-31
Performance and environment monitoring for continuous program optimization.
239-248
2006
50
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.502.0239
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd50.html#CascavalDSW06
2006-09-05
High-speed electrical testing of multichip ceramic modules.
687-698
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0687
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ManzerKWBCHWY05
2006-09-05
BladeCenter thermal diagnostics.
977-988
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0977
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#PiazzaHCM05
2006-09-05
Effects of mechanical stress and moisture on packaging interfaces.
663-676
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0663
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BuchwalterBFGLMQ05
2006-09-05
BladeCenter midplane and media interface card.
823-836
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0823
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#HughesPZPWDH05
2006-09-05
Verification strategy for the Blue Gene/L chip.
303-318
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0303
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#WazlowskiABBBCDGGHHHNOSSSTTUV05
2006-09-05
Microstructure and mechanical properties of lead-free solders and solder joints used in microelectronic applications.
607-620
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0607
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#KangLSHP05
2006-09-05
Custom math functions for molecular dynamics.
465-474
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0465
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#EnenkelFGGMMPPRSSW05
2006-09-05
BladeCenter chassis management.
941-962
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0941
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BreyBBCDFJKKKLMRWW05
2006-09-05
The evolution of build-up package technology and its design challenges.
641-662
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0641
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BlackshearCKEMdOLWPHR05
2006-09-05
Using microcode in the functional verification of an I/O chip.
581-588
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0581
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GoldmanMS05
2006-09-05
Optimizing task layout on the Blue Gene/L supercomputer.
489-500
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0489
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BhanotGHLSW05
2006-09-05
Overview of molecular dynamics techniques and early scientific results from the Blue Gene project.
475-488
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0475
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#SuitsPPSG05
2006-09-05
BladeCenter systems management software.
963-976
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0963
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#PruettABFMRRS05
2006-09-05
Functional verification of the POWER5 microprocessor and POWER5 multiprocessor systems.
541-554
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0541
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#VictorLPNSHCBGRF05
2006-09-05
Challenges of data center thermal management.
709-724
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0709
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#SchmidtCI05
2006-09-05
Organization and implementation of the register-renaming mapper for out-of-order IBM POWER4 processors.
167-188
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/buti.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ButiMKALBW05
2006-09-05
Exploring the limits of prefetching.
127-144
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/emma.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#EmmaHPS05
2006-09-05
Functional formal verification on designs of pSeries microprocessors and communication subsystems.
565-580
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0565
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GottBRJ05
2006-09-05
Superconformal film growth: Mechanism and quantification.
19-36
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/moffat.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MoffatWEJ05
2006-09-05
Early performance data on the Blue Matter molecular simulation framework.
447-456
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0447
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GermainZERSWF05
2006-09-05
BladeCenter networking.
905-920
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0905
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#HunterSCG05
2006-09-05
Embedded DRAM: Technology platform for the Blue Gene/L chip.
333-350
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0333
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#IyerBPNRLH05
2006-09-05
IBM PowerPC 440 FPU with complex-arithmetic extensions.
249-254
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0249
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#Wait05
2006-09-05
Operating system exploitation of the POWER5 system.
533-540
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0533
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MackerrasMS05
2006-09-05
Blue Gene/L torus interconnection network.
265-276
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0265
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#AdigaBCCGGHSSTTV05
2006-09-05
Development of next-generation system-on-package (SOP) technology based on silicon carriers with fine-pitch chip interconnection.
725-754
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0725
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#KnickerbockerABDHJKMPPSSSSTWWMSD05
2006-09-05
Logic-based eDRAM: Origins and rationale for use.
145-166
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/matick.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MatickS05
2006-09-05
BladeCenter T system for the telecommunications industry.
873-886
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0873
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#VanderlindenABGLMPS05
2006-09-05
Overview of the QCDSP and QCDOC computers.
351-366
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0351
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BoyleCCCCCDGJJKLLMOPWY05
2006-09-05
Introduction to the Cell multiprocessor.
589-604
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0589
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#KahleDHJMS05
2006-09-05
Message from the Vice President, BladeCenter Development, IBM Systems and Technology Group.
806-
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#Balog05
2006-09-05
Resource allocation and utilization in the Blue Gene/L supercomputer.
425-436
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0425
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#AridorDGMS05
2006-09-05
BladeCenter processor blades, I/O expansion adapters, and units.
837-860
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0837
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#HughesSLPWSPHSLWSD05
2006-09-05
Message from the Vice President, Systems, IBM Research Division.
190
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#Agerwala05
2006-09-05
Blue Gene/L programming and operating environment.
367-376
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0367
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MoreiraAABBBBCCGILLMMMMRSSSSS05
2006-09-05
Scalable framework for 3D FFTs on the Blue Gene/L supercomputer: Implementation and early performance measurements.
457-464
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0457
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#EleftheriouFRWG05
2006-09-05
Advanced virtualization capabilities of POWER5 systems.
523-532
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0523
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ArmstrongABKLLNS05
2006-09-05
Design and implementation of message-passing services for the Blue Gene/L supercomputer.
393-406
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0393
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#AlmasiACGEHMMPRSGT05
2006-09-05
Blue Gene/L performance tools.
407-424
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0407
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MartorellSWBAGRLEGSM05
2006-09-05
Multiscale simulations of copper electrodeposition onto a resistive substrate.
49-64
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/drews.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#DrewsKAGBA05
2006-09-05
Mixing, rheology, and stability of highly filled thermal pastes.
699-708
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0699
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#FegerGMK05
2006-09-05
BladeCenter storage.
921-940
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0921
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#HollandCKMV05
2006-09-05
Preface.
503-
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0503
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#Tendler05
2006-09-05
Tuning the properties of magnetic nanowires.
79-102
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/sun.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#SunHCS05
2006-09-05
Design and exploitation of a high-performance SIMD floating-point unit for Blue Gene/L.
377-392
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0377
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ChatterjeeBBDGGGLLMNWWW05
2006-09-05
Recent developments in high-moment electroplated materials for recording heads.
103-126
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/cooper.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#CooperBHHKLRRRX05
2006-09-05
Characterization of simultaneous multithreading (SMT) efficiency in POWER5.
555-564
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0555
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#MathisMMEK05
2006-09-05
POWER5 system microarchitecture.
505-522
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0505
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#SinharoyKTEJ05
2006-09-05
Vectorization techniques for the Blue Gene/L double FPU.
437-446
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0437
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#LorenzKFU05
2006-09-05
Blue Gene/L advanced diagnostics environment.
319-332
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0319
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GiampapaBBCDGHHHKNSOSV05
2006-09-05
Design and modeling of equipment used in electrochemical processes for microelectronics.
65-78
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/ritzdorf.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#RitzdorfWMWHF05
2006-09-05
Overview of the Blue Gene/L system architecture.
195-212
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0195
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GaraBCCCGHHHKLOSTV05
2006-09-05
Blue Gene/L compute chip: Memory and Ethernet subsystem.
255-264
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0255
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#OhmachtBBGGGHHKMNSW05
2006-09-05
Low-cost wafer bumping.
621-640
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0621
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#GruberBBDLNOSTT05
2006-09-05
BladeCenter packaging, power, and cooling.
887-904
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0887
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#CrippenACCGGMMMT05
2006-09-05
Packaging the Blue Gene/L supercomputer.
213-248
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0213
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#CoteusBCCGHKLMRSTRMGJ05
2006-09-05
BladeCenter system overview.
809-822
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0809
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#DesaiBCHK05
2006-09-05
Blue Gene/L compute chip: Control, test, and bring-up infrastructure.
289-302
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0289
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#HaringBBCDDEGHLMO05
2006-09-05
Electrochemical planarization of interconnect metallization.
37-48
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/west.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#WestDA05
2006-09-05
Preface.
807-
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0807
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#Bradicich05
2006-09-05
BladeCenter solutions.
861-872
2005
49
IBM Journal of Research and Development
6
http://dx.doi.org/10.1147/rd.496.0861
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ForeCPRSSW05
2006-09-05
Preface.
605-
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0605
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#FraseS05
2006-09-05
Microminiature packaging and integrated circuitry: The work of E. F. Rent, with an application to on-chip interconnection requirements.
777-803
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0777
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#LanzerottiFR05
2006-09-05
Latent defect screening for high-reliability glass-ceramic multichip module copper interconnects.
677-686
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org/10.1147/rd.494.0677
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#YarmchukCB05
2006-09-05
Blue Gene/L compute chip: Synthesis, timing, and physical design.
277-288
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0277
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BrightHDOHSMLDEZG05
2006-09-05
Preface.
191-194
2005
49
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.492.0191
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#ChiuGR05
2006-09-05
Exploitation of optical interconnects in future server architectures.
755-776
2005
49
IBM Journal of Research and Development
4-5
http://dx.doi.org10.1147/rd.494.0755/
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#BennerIKKR05
2006-09-05
The chemistry of additives in damascene copper plating.
3-18
2005
49
IBM Journal of Research and Development
1
http://www.research.ibm.com/journal/rd/491/vereecken.pdf
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd49.html#VereeckenBDA05
2006-09-05
The early days of experimental quantum cryptography.
47-52
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0047
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Smolin04
2006-09-05
Accelerating system integration by enhancing hardware, firmware, and co-simulation.
569-582
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0569
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#SchubertMPRWW04
2006-09-05
Model-driven development of large-scale Web applications.
797-810
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0797
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#TaiMNAOH04
2006-09-05
Logical partition mode physical resource management on the IBM eServer z990.
535-542
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0535
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#SiegelGK04
2006-09-05
Packaging the IBM eServer z990 central electronic complex.
395-408
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0395
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ParrillaBCLST04
2006-09-05
Functional verification of a frequency-programmable switch chip with asynchronous clock sections.
461-474
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0461
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#HoppeACJKS04
2006-09-05
Finishing Line Scheduling in the steel industry.
811-830
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0811
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#OkanoDTRYA04
2006-09-05
Model-driven business process integration and management: A case study with the Bank SinoPac regional service platform.
649-670
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0649
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ZhuTLSYDWWWHLC04
2006-09-05
iMMS: Interactive multimedia messaging service.
627-634
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0627
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ShenSZS04
2006-09-05
TelePOVM - A generalized quantum teleportation scheme.
87-98
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0087
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#BrassardHM04
2006-09-05
Deep scientific computing requires deep data.
209-232
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0209
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#KramerSADJBH04
2006-09-05
Millicode in an IBM zSeries processor.
425-434
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0425
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#HellerF04
2006-09-05
A framework for device capability on demand and virtual device user experience.
635-648
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0635
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#FuSFLLZC04
2006-09-05
Message from the Vice President, Systems, IBM Research Division.
150
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Agerwala04
2006-09-05
Novel efficient techniques for computer simulation of magnetic recording.
173-182
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0173
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#NewnsDMSL04
2006-09-05
LORE: An infrastructure to support location-aware services.
601-616
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0601
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ChenCRYLL04
2006-09-05
Quantum information isomorphism: Beyond the dilemma of the Scylla of ontology and the Charybdis of instrumentalism.
139-147
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0139
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#HorodeckiHH04
2006-09-05
The adaptive classical capacity of a quantum channel, or Information capacities of three symmetric pure states in three dimensions.
115-138
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0115
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Shor04
2006-09-05
Simulations of magnetic materials with MDGRAPE-2.
199-208
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0199
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ElmegreenKSCEFNSY04
2006-09-05
Singular operators in multiwavelet bases.
161-172
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0161
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#FannBHJ04
2006-09-05
Processor subsystem interconnect architecture for a large symmetric multiprocessing system.
323-338
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0323
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#MakSBKPSVWW04
2006-09-05
A pedestrian's introduction to spacetime crystallography.
13-30
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0013
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Toffoli04
2006-09-05
Message from the Vice President, Systems Hardware Development, IBM Systems and Technology Group.
292-
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Desens04
2006-09-05
IBM eServer z990 improvements in firmware simulation.
583-594
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0583
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#StetterBCDEGHKKLPZ04
2006-09-05
The z990 first error data capture concept.
557-568
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0557
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#KoernerBFHKTW04
2006-09-05
What is actually teleported?
63-70
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0063
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Peres04
2006-09-05
Preface.
597-600
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0597
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#YehGK04
2006-09-05
Run-control migration from single book to multibooks.
339-346
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0339
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#WebelGS04
2006-09-05
Preface.
293-294
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0293
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Schmidt04
2006-09-05
Is entanglement monogamous?
71-78
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0071
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Terhal04
2006-09-05
z990 NetMessage-protocol-based processor to support element communication interface.
435-448
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0435
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#AxnixEHHKW04
2006-09-05
Preface.
3-4
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0003
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#DiVincenzoA04
2006-09-05
A large-vocabulary continuous speech recognition system for Hindi.
703-716
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0703
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#KumarRV04
2006-09-05
Web accessibility technology at the IBM Tokyo Research Laboratory.
735-750
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0735
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#MaedaFTA04
2006-09-05
Preface.
151-152
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0151
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Jordan04
2006-09-05
The IBM eServer z990 floating-point unit.
311-322
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0311
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#GerwigWSHKFK04
2006-09-05
The IBM PCIXCC: A new cryptographic coprocessor for the IBM eServer.
475-488
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0475
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ArnoldD04
2006-09-05
Online marketing research.
671-678
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0671
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#AgrawalBJKKMMRSS04
2006-09-05
A new load-balancing strategy for the solution of dynamical large-tree-search problems using a hierarchical approach.
153-160
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0153
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#CrivelliH04
2006-09-05
SCSI initial program loading for zSeries.
507-518
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0507
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#BanzhafBFKMS04
2006-09-05
The GNU 64-bit PL8 compiler: Toward an open standard environment for firmware development.
543-556
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0543
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#GellerichHLLMOP04
2006-09-05
On-demand design service innovations.
751-766
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0751
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ShimizuISA04
2006-09-05
Copenhagen computation: How I learned to stop worrying and love Bohr.
53-62
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0053
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Mermin04
2006-09-05
Evolution of a Java just-in-time compiler for IA-32 platforms.
767-796
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0767
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#SuganumaOKTIKIYKOKN04
2006-09-05
The structure of chips and links comprising the IBM eServer z990 I/O subsystem.
449-460
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0449
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#ChencinskiBBBHHHSTUWWZ04
2006-09-05
Enhancing a biomedical information extraction system with dictionary mining and context disambiguation.
693-702
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0693
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#MukherjeaSCSKBBS04
2006-09-05
Hybrid cooling with cycle steering in the IBM eServer z990.
409-424
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0409
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#GothKMP04
2006-09-05
Can complex structures be generically stable in a noisy world?
5-12
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0005
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Grinstein04
2006-09-05
First- and second-level packaging of the z990 processor cage.
379-394
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0379
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#WinkelBHPKGCK04
2006-09-05
Functional verification of the z990 superscalar, multibook microprocessor complex.
347-366
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0347
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#BairGWKSMLWWMVAHRH04
2006-09-05
Reliability, availability, and serviceability (RAS) of the IBM eServer z990.
519-534
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0519
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#FairCSMCAMFFW04
2006-09-05
A wireless LAN-based indoor positioning technology.
617-626
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0617
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#XiangSCWHG04
2006-09-05
A framework for eGovernance solutions.
717-734
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0717
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#MittalKMNBRSY04
2006-09-05
The performance impact of I/O optimizations and disk improvements.
255-289
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0255
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#HsuS04
2006-09-05
The eShopmonitor: A comprehensive data extraction tool for monitoring Web sites.
679-692
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.0679
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#AgrawalAGJKN04
2006-09-05
Five big questions with pretty simple answers.
31-46
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0031
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Fredkin04
2006-09-05
Picturing qubits in phase space.
99-110
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0099
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Wootters04
2006-09-05
Some bipartite states do not arise from channels.
111-114
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0111
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Ruskai04
2006-09-05
Multiple-logical-channel subsystems: Increasing zSeries I/O scalability and connectivity.
489-506
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0489
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#WymanYTO04
2006-09-05
Message from the Senior Vice President, IBM Research Division.
596-
2004
48
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.485.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Horn04
2006-09-05
The pursuit of the whole NChilada: Virtual petaflops using multi-adaptive algorithms for gravitational systems.
183-198
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0183
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#LakeQRS04
2006-09-05
The IBM eServer z990 microprocessor.
295-310
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0295
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#SlegelPM04
2006-09-05
A philosophical and technical comparison of Legion and Globus.
233-254
2004
48
IBM Journal of Research and Development
2
http://dx.doi.org/10.1147/rd.482.0233
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#GrimshawHN04
2006-09-05
Illustrating the concept of quantum information.
79-86
2004
48
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.481.0079
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#Jozsa04
2006-09-05
Configurable system simulation model build comprising packaging design data.
367-378
2004
48
IBM Journal of Research and Development
3-4
http://dx.doi.org/10.1147/rd.483.0367
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd48.html#AndersonKRS04
2006-09-05
Balancing hardware intensity in microprocessor pipelines.
585-598
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0585
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ZyubanS03
2006-09-05
High-performance linear algebra algorithms using new generalized data structures for matrices.
31-56
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0031
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Gustavson03
2006-09-05
Data-intensive analytics for predictive modeling.
17-24
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0017
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ApteHNPTW03
2006-09-05
Design automation methodology and rf/analog modeling for rf CMOS and SiGe BiCMOS technologies.
139-176
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0139
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#HarameNSSSJPDESJSKBGKHM03
2006-09-05
Data aggregation architectures for single-chip SDH/SONET framers.
211-222
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0211
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Clauberg03
2006-09-05
IBM PowerNP network processor: Hardware, software, and applications.
177-194
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0177
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#AllenBBBCDFHHKLPRSSW03
2006-09-05
Ergodic theory of one-dimensional dynamics.
67-76
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0067
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#MartensN03
2006-09-05
Preface.
521-524
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0521
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ElnozahyJ03
2006-09-05
LTO: A better format for mid-range tape.
429-444
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0429
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Jaquette03
2006-09-05
An innovative low-power high-performance programmable signal processor for digital communications.
299-326
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0299
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#MorenoZSNDWKZGBAFLBNH03
2006-09-05
The mathematics of halftoning.
5-16
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0005
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#AdlerKMTW03
2006-09-05
SiON high-refractive-index waveguide and planar lightwave circuits.
239-250
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0239
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#BonaGO03
2006-09-05
Workload-based power management for parallel computer systems.
703-718
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0703
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#BradleyHH03
2006-09-05
Review and future prospects of low-voltage RAM circuits.
525-552
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0525
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#NakagomeHKI03
2006-09-05
Tape management in a storage networking environment.
453-458
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0453
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#DeickeM03
2006-09-05
Estimating the efficiency of collaborative problem-solving, with applications to chip design.
77-88
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0077
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#WisniewskiYFCFN03
2006-09-05
Maximizing the system value while satisfying time and energy constraints.
689-702
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0689
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#RusuMM03
2006-09-05
Innovations in tape storage automation at IBM.
445-452
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0445
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#HellmanYA03
2006-09-05
Head reliability of AMR sensors based on thermal stress tests.
415-428
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0415
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Iben03
2006-09-05
Developing integrated antenna subsystems for laptop computers.
355-367
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0355
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#LiuGFSUB03
2006-09-05
Clocking and clocked storage elements in a multi-gigahertz environment.
567-584
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0567
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Oklobdzija03
2006-09-05
On greedy algorithms, partially ordered sets, and submodular functions.
25-30
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0025
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#DietrichH03
2006-09-05
Ultralow-power SRAM technology.
553-566
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0553
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#MannABBBCCCFHHHJLMRMPPRTY03
2006-09-05
Preface.
371-372
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0371
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Bradshaw03
2006-09-05
Design of optical communications data links.
223-238
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0223
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#PepeljugoskiK03
2006-09-05
Steady-state thermal characteristics of AMR read/write heads used in tape storage drives.
401-414
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0401
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#IbenLH03
2006-09-05
Six orders of magnitude in linear tape technology: The one-terabyte project.
471-482
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0471
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ChildersIEJKH03
2006-09-05
Preface.
99-100
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0099
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Oprysko03
2006-09-05
The IBM Virtual Tape Server: Making tape controllers more autonomic.
459-470
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0459
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Kishi03
2006-09-05
Grammatical evolution to design fractal curves with a given dimension.
483-494
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0483
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#OrtegaDA03
2006-09-05
Comparison of analytic performance models using closed mean-value analysis versus open-queuing theory for estimating cycles per instruction of memory hierarchies.
495-517
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0495
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Matick03
2006-09-05
Preface.
3-4
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0003
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#DietrichS03
2006-09-05
Message from the Director, Austin Research Laboratory, IBM Research Division.
520-
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Rosenfield03
2006-09-05
Fifty years of IBM innovation with information storage on magnetic tape.
373-384
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0373
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#BradshawS03
2006-09-05
Application of an SOI 0.12-µm CMOS technology to SoCs with low-power and high-frequency circuits.
611-630
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0611
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#PlouchartZKSTRTWWLNOPRSFKKKJR03
2006-09-05
The design and application of the PowerPC 405LP energy-efficient system-on-a-chip.
631-640
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0631
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#NowkaCB03
2006-09-05
Low-power circuits and technology for wireless digital systems.
283-298
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0283
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#KosonockyBCGHHKKKWZ03
2006-10-30
On the performance and use of dense servers.
671-688
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0671
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#FelterKKLRRRSH03
2006-09-05
Hard-disk-drive technology flat heads for linear tape recording.
385-400
2003
47
IBM Journal of Research and Development
4
http://dx.doi.org/10.1147/rd.474.0385
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#BiskebornE03
2006-09-05
Mathematical sciences in the nineties.
89-96
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0089
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Pulleyblank03
2006-09-05
Foundation of rf CMOS and SiGe BiCMOS technologies.
101-138
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0101
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#DunnACFFGGGHJLOORSVWZSHHM03
2006-09-05
Message from the Vice President for Technology and Chief Technologist, IBM Technology Group.
98-
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.a
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Meyerson03
2006-09-05
SiGe BiCMOS integrated circuits for high-speed serial communication links.
259-282
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0259
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#FriedmanMPYARKRSZSS03
2006-09-05
Evolution of optical subassemblies in IBM data communication transceivers.
251-258
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0251
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#TrewhellaJHK03
2006-09-05
A direct-conversion receiver integrated circuit for WCDMA mobile systems.
337-354
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0337
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ReynoldsFBZPA03
2006-09-05
Prizma switch technology.
195-210
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0195
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Engbersen03
2006-09-05
New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors.
653-670
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0653
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#BrooksBSGER03
2006-09-05
Design and validation of a performance and power simulator for PowerPC systems.
641-652
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0641
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ShafiBPRP03
2006-09-05
The Common Optimization INterface for Operations Research: Promoting open-source software in the operations research community.
57-66
2003
47
IBM Journal of Research and Development
1
http://dx.doi.org/10.1147/rd.471.0057
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#Lougee-Heimer03
2006-09-05
Fine-grain real-time reconfigurable pipelining.
599-610
2003
47
IBM Journal of Research and Development
5-6
http://dx.doi.org/10.1147/rd.475.0599
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#KimZP03
2006-09-05
Broadband radio LANs and the evolution of wireless beyond 3G.
327-336
2003
47
IBM Journal of Research and Development
2-3
http://dx.doi.org/10.1147/rd.472.0327
http://www.informatik.uni-trier.de/~ley/db/journals/ibmrd/ibmrd47.html#ChevillatS03
2002-01-03
Books.
403-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/books.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#X00
2002-01-03
A Three-Value Computer Design Verification System.
178-188
1969
8
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#JephsonMV69
2002-01-03
Forecasting Techniques.
187-209
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Aiso73
2002-01-03
Operating System Integrity in OS/VS2.
230-352
1974
13
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#McPhee74
2002-01-03
IBM's Enterprise Server for Java.
323-335
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#BrackenburyFGS98
2002-01-03
Large Systems and Enterprise Systems Architecture.
4-14
1989
28
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Aken89
2002-01-03
Building a Java virtual machine for server applications: The Jvm on OS/390.
194-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/dillenberger.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#DillenbergerBCDEGHOSJ00
2002-09-06
GeneX: An Open Source gene expression database and integrated tool set.
552-569
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/mangalam.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#MangalamSZSWCFCW01
2002-01-03
Code-Generation Technique for Large-Language Compilers.
166-188
1970
9
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#ElsonR70
2002-01-03
Expanded Personal Computing Power and Capability.
26-36
1985
24
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#KornMT85
2002-01-03
Performance Criteria and Measurement for a Time-Sharing System.
193-216
1971
10
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Bard71
2002-01-03
Experimental Evaluation of System Performance.
302-314
1973
12
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Bard73
2002-01-03
Performance Analysis of Virtual Memory Time-Sharing Systems.
366-384
1975
14
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Bard75
2002-01-03
An Algorithm for Generating Spline-Like Curves.
206-217
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Ahuja68
2002-01-03
Routing and Flow Control in Systems Network Architecture.
298-314
1979
18
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Ahuja79
2002-01-03
Common Communications Support in Systems Application Architecture.
264-280
1988
27
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Ahuja88
2002-01-03
Reverse Engineering of Data.
246-269
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Aiken98
2002-01-03
Improving System Usability for Business Professionals.
294-305
1981
20
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Helander81
2002-01-03
Toward computers that recognize and respond to user emotion.
705-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/picard.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Picard00
2002-01-03
A Society of Models for Video and Image Libraries.
292-312
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Picard96
2002-01-03
It's alive!
821-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part3/pentland.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Pentland00
2002-01-03
A Look at Human Interaction with Pervasive Computers.
504-507
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#ArkS99
2002-01-03
Real-Time Systems in Perspective.
49-67
1967
6
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Aron67
2002-01-03
Language as an Intellectual Tool: From Hieroglyphics to APL.
554-581
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#McIntyre91
2002-09-06
Applying machine learning to automated information graphics generation.
504-523
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/zhou.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#ZhouMF02
2002-01-03
San Francisco performance: A case study in performance for large-scale Java applications.
4-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/christ.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#ChristHLMMP00
2002-01-03
A System for Constructing Linear Programming Models.
505-520
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#KatzRR80
2002-01-03
Program Restructuring for Virtual Memory.
168-192
1971
10
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#HatfieldG71
2002-01-03
A Systematic Approach to OSL Application Programming.
49-61
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Minkoff92
2002-01-03
A General Purpose Digital Simulator and Examples of Its Application Part III: Digital Simulation of Urban Traffic.
41-50
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#Blum64
2002-01-03
Putting a New Face on APL2.
469-489
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#JensenB91
2002-01-03
A Decomposition Method for Quadratic Programming.
39-48
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#JensenK92
2002-01-03
The Structure of SYSTEM/360 Part II: System Implementations.
136-143
1964
3
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#Stevens64
2002-01-03
How Data Flow can Improve Application Development Productivity.
162-178
1982
21
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Stevens82
2002-01-03
Programming Style on the IBM 3090 Vector Facility Considering Both Performance and Flexibility.
453-474
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Samukawa88
2002-01-03
An Architecture for Multimedia Communication and Real-Time Collaboration.
519-543
1995
34
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#AldredBLM95
2002-01-03
Application Development System: The Software Architecture of the IBM Health Care Support/DL/I-Patient Care System.
478-504
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#MishelevichS80
2002-01-03
HONE: The IBM Marketing Support System.
189-199
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Boos85
2002-01-03
The Jalapeño virtual machine.
211-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/alpern.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#AlpernABBCCCFGHHLLMNRSSSSSSW00
2003-01-29
Multimedia Based on Object Models: Some Whys and Hows.
337-348
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Bove96
2002-09-06
Multithreaded Java program test generation.
111-125
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/edelstein.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#EdelsteinFNRU02
2002-01-03
Enabling ATM Networks.
672-693
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#OnvuralSKHC95
2002-01-03
Application Enabling in SAA.
301-305
1988
27
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Wolford88
2002-01-03
Hierarchical Approach to Computer System Integrity.
188-202
1975
14
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#DonovanM75
2002-01-03
What's the big idea? Toward a pedagogy of idea power.
720-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/papert.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Papert00
2002-01-03
A Primer on Relational Data Base Concepts.
23-40
1981
20
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Sandberg81
2002-01-03
Experiences with the IBM SP1.
249-262
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#GroppL95
2002-01-03
Segmenting Discrete Data Representing Continuous Speech Input.
287-296
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#FaulkG90
2002-01-03
Writing an Operating System/2 Application.
134-157
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#CookRTW88
2002-01-03
SAA Distributed File Access to the CICS Environment.
516-534
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Deinhart92
2002-01-03
Structural Aspects of the System/360 Model 85 I: General Organization.
2-14
1968
7
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#ContiGP68
2002-01-03
Performance Analysis of Complex Communications Systems.
356-373
1979
18
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Stewart79
2002-01-03
RODM: A Control Information Base.
252-269
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#FinkelC92
2002-01-03
The Document Interchange Architecture: A Member of a Family of Architecutres in the SNA Environment.
220-244
1982
21
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#SchickB82
2002-01-03
Extension of the Relational Database Semantic Processing Model.
539-550
1990
29
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Hirao90
2002-01-03
The Transmission Subsystem in Systems Network Architecture.
24-38
1976
15
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Cullum76
2002-01-03
Implementation and Usage.
373-381
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#DayZ68
2002-01-03
Data Modeling for Software Development.
228-235
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#MatthewsM90
2002-01-03
SNA Route Generation Using Traffic Patterns.
250-258
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Baade91
2002-01-03
A Universal Information Appliance.
575-601
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#EusticeLMMEG99
2002-01-03
System/7 in a Hierarchical Laboratory Automation System.
307-324
1974
13
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#Cole74
2002-01-03
How a Computer Should Talk to People.
424-453
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Dean82
2002-01-03
Strategic Alignment: Leveraging Information Technology for Transforming Organizations.
4-16
1993
32
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#HendersonV93
2002-01-03
T Spaces.
454-474
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#WyckoffMLF98
2002-01-03
Strategic Alignment: Leveraging Information Technology for Transforming Organizations.
472-484
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#HendersonV99
http://www.research.ibm.com/journal/sj/382/henderson.pdf
2002-01-03
An Incidence-Matrix-Driven Panel System for the IBM PC.
201-214
1987
26
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#HalpernRL87
2002-01-03
Solving the Installation Scheduling Problem Using Mixed Integer Linear Programming.
82-91
1978
17
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#ChenCJ78
2002-01-03
Computational Holographic Bandwith Compression.
349-365
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Lucente96
2002-01-03
Data Recovery in IBM Database 2.
178-188
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Crus84
2002-01-03
Function and Design of DOS/360 and TOS/360.
2-21
1967
6
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#BenderFS67
2002-01-03
A Teleprocessing Approach Using Standard Equipment.
28-47
1969
8
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#WadeCV69
2002-01-03
An Approach bo High Availability in High-Transaction-Rate Systems.
279-293
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Brooks85
2002-01-03
Application Reference Designs for Distributed Systems.
625-646
1993
32
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#ShedletskyR93
2002-01-03
A Security Architecture for the Internet Protocol.
42-60
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#ChengGHK98
2002-01-03
Fabrication and Assembly Operations Part I: The Outlines of a Control System.
87-93
1965
4
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Baker65
2002-01-03
Chief Programmer Team Management of Production Programming.
56-73
1972
11
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#Baker72
2002-01-03
Logical Distribution of Applications and Data.
171-191
1980
19
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Baker80
2002-01-03
User Program Performance in Virtual Storage Systems.
216-237
1973
12
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Morrison73
2002-01-03
Data Stream Linkage Mechanism.
383-408
1978
17
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Morrison78
2002-01-03
Data Stream Linkage and the UNIX System.
470-475
1979
18
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Morrison79
2002-01-03
The Application of Logic to Programs and Programming.
2-38
1971
10
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Allen71
2002-01-03
Elements of Probability for System Design.
325-348
1974
13
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#Allen74
2002-01-03
Elements of Queuing Theory for System Design.
161-187
1975
14
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Allen75
2002-01-03
Turning Points in Interaction with Computers.
135-138
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Allen99
http://www.research.ibm.com/journal/sj/382/allen.pdf
2002-01-03
A Large-Scale Computer Conferencing System.
138-153
1987
26
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#ChessC87
2002-01-03
Improving Availability of Software Subsystems Through On-Line Error Detection.
105-115
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#KovedW86
2002-01-03
APL2: Getting Started.
433-445
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#BrownC91
2002-01-03
The VM/370 Resource Limiter.
424-437
1981
20
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#ChessW81
2002-01-03
Interactive Simulation for Banking.
172-186
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#BrownL73
2002-01-03
Techniqye for Assessing External Design of Software.
211-219
1982
21
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Pearsall82
2002-01-03
Extending Relational Database Technology for New Applications.
264-279
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#ChengMCD94
2002-01-03
IBM Database 2 Performance: Design, Implementation, and Tuning.
189-210
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#ChengLSW84
2002-01-03
A Perspective on the 801/Reduced Instruction Set Computer.
107-121
1987
26
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#Hopkins87
2002-01-03
A Method for the Time Analysis of Programs.
26-38
1978
17
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#FreitasL78
2002-01-03
A Multi-Item Economic Lot-Sizing Problem.
47-66
1968
7
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Pierce68
2002-01-03
Geometry for Construction and Display.
188-205
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#AhujaC68
2002-01-03
Testing in a Complex Systems Environment.
353-365
1975
14
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Duke75
2002-01-03
Interactive Aspects of Crystal Structure Analysis.
322-330
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Okaya68
2002-01-03
Fabrication and Assembly Operations Part IV: Linear Programming in Production Planning.
122-135
1965
4
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Dzielinski65
2002-01-03
A Guide to Financial Planning Tools and Techniques.
126-144
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Dzielinski73
2002-01-03
Network Management Software Usability Test Design and Implementation.
92-104
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#PercivalJ86
2002-01-03
Enterprise Information Analysis: Cost-Benefit Analysis and the Data-Managed System.
108-123
1982
21
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Parker82
2002-01-03
A Reusable Parts Center.
620-624
1993
32
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Bauer93
2004-01-02
Series/1-Based Videoconferencing System.
97-110
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#AnastassiouBJMPP83
2002-01-03
The Management of Software Engineering. Part IV: Software Development Practices.
451-465
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Dyer80
2002-01-03
The WebSphere Application Server Architecture and Programming Model.
336-348
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Bayeh98
2002-01-03
A Page-Swapping Prototype for VM/HPO.
215-230
1987
26
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#TetzlaffBBGPS87
2002-01-03
Experiments in Digital Graphic Design.
499-513
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#MusgraveC96
2002-01-03
Serial Compilation and the 1401 FORTRAN Compiler.
73-80
1965
4
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Haines65
2002-01-03
Project Evaluation and Selection.
200-217
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#DimsdaleF63
2004-06-24
A Description of the SIMSCRIPT Language.
57-67
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#DimsdaleM64
2004-06-24
A Description of the SIMSCRIPT Language.
151-161
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#DimsdaleM99
http://www.research.ibm.com/journal/sj/382/dimsdale.pdf
2002-09-06
Intelligent decision support for protein crystal growth.
394-409
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/jurisica.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#JurisicaRGFLWBWD01
2002-01-03
IBM Parallel FORTRAN.
416-435
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#ToomeyPSSSS88
2002-01-03
An enterprise directory solution with DB2.
360-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/shi.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#ShiSBCBJ00
2002-01-03
Advances in APPN Architecture.
430-451
1995
34
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#BirdBCDMP95
2002-01-03
Principles of Interactive Systems.
147-174
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Johnson68
2002-01-03
The Importance of Systems Management for a Parallel Sysplex.
301-326
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#Johnson97
2002-01-03
Cluster Architectures and S/390 Parallel Sysplex Scalability.
221-241
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#KingDY97
2002-01-03
Concepts of Enterprise Systems Architecture/370.
39-61
1989
28
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Plambeck89
2002-01-03
Salient Stills: Process and Practice.
557-573
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#MasseyB96
2002-01-03
AIX Usability Enhancements and Human Factors.
383-394
1987
26
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#WatersBS87
2002-01-03
An Introduction to Typographic Fonts and Digital Font Resources.
206-218
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#GriffeeC88
2002-01-03
Architectural Directions for Opening IBM Networks: The Case of OSI.
313-335
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#JansonMZ92
2002-01-03
A High-Performance DB/DC System.
169-195
1977
16
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#Siwiec77
2002-01-03
Enhanced Problem Determination Capability for Teleprocessing.
276-289
1978
17
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Ford78
2002-01-03
Approach to Object Security in Distributed SOM.
192-203
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#BenantarBN96
2002-01-03
System-Managed Storage.
77-103
1989
28
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Gelb89
2002-09-06
Access Control Systems: From Host-Centric to Network-Centric Computing.
94-
1996
35
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#BenantarGT96
2002-01-03
NBBS Path Selection Framework.
629-639
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#TedijantoOVGG95
2002-01-03
An Intrinsically Addressed Processing System.
182-199
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Griffith63
2002-01-03
The Image Object Content Architecture.
333-342
1990
29
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Hakeda90
2002-01-03
Architecture Implications in the Design of Microprocessors.
264-280
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#MatickL84
2002-01-03
Modeling for Computing Center Planning.
305-324
1971
10
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#HanssmannKS71
2002-09-06
Fastfinger: A study into the use of compressed residue pair separation matrices for protein sequence comparison.
442-463
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/robson.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Robson01
2002-01-03
Auxiliary-Storage Associative Data Structure for PL/I.
229-245
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Symonds68
2002-01-03
Introduction to IBM's Knowledge-Systems Products.
134-146
1986
25
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Symonds86
2002-01-03
Business Systems Planning and Business Information Control Study: A Comparison.
31-53
1982
21
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Zachman82
2002-01-03
A Framework for Information Systems Architecture.
276-292
1987
26
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#Zachman87
2002-01-03
A Framework for Information Systems Architecture.
454-470
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Zachman99
http://www.research.ibm.com/journal/sj/382/zachman.pdf
2002-01-03
Next Generation Air Traffic Control Automation.
63-77
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#DebelackDMS95
2002-01-03
Security Considerations for Personal Computers.
297-304
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Murray84
2002-09-06
Metrics to evaluate vendor-developed software based on test case execution results.
13-30
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/bassin.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#BassinBS02
2002-01-03
An ATM Strategy for IBM Networking Systems.
554-563
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#CohenA95
2002-01-03
FORTRAN for Clusters of IBM ES/3090 Multiprocessors.
296-311
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#SahulkaPSSW91
2002-01-03
Information System Model and Architecture Generator.
213-235
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Hein85
2002-01-03
DevelopMate: A New Paradigm for Information System Enabling.
250-264
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Hein90
2002-01-03
VM/370 Asymmetric Multiprocessing.
47-70
1979
18
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#HolleyPSS79
2002-01-03
Internal Combustion Engine Design on IBM Platforms.
774-787
1992
31
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#PapettiGMSGP92
2002-01-03
Performance Issues in Local-Area Networks.
351-374
1984
23
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Bux84
2002-01-03
Indexing Design Considerations.
351-367
1973
12
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Wagner73
2002-01-03
Compiler Assignment of Data Items to Registers.
281-317
1970
9
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Day70
2002-01-03
A new structure for news editing.
569-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/gruhl.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#GruhlB00
2002-01-03
NIL: A High-Level Language for Distributed Systems Programming.
111-127
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#ParrS83
2002-01-03
NIL: A High-Level Language for Distributed Systems Programming.
181-197
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#ParrS99
http://www.research.ibm.com/journal/sj/382/parr.pdf
2002-01-03
A Research Perspective on Computer-Assisted Office Work.
432-456
1979
18
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#GruhnH79
2002-01-03
On Teleprocessing System Design Part V: A Technique for Estimating Channel Interference.
171-174
1966
5
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Gay66
2002-01-03
Efficient Transport and Distribution of Network Control Information in NBBS.
640-658
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#PeyravianBCK95
2002-01-03
Fabrication and Assembly Operations Part VII: Adaptive Control in Production Planning.
250-255
1965
4
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Shapiro65a
2002-01-03
A Study of Replacement Algorithms for Virtual-Storage Computer.
78-101
1966
5
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Belay66
2002-01-03
Multimedia File Serving with the OS/390 LAN Server.
374-392
1997
36
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#KienzleBBEEM97
2002-01-03
A Technique to Control Waiting Time in a Queue.
53-57
1965
4
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Shapiro65
2002-01-03
TSO Attach: A Multipurpose Communication Channel to IBM Database 2.
151-164
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#HammondZ84
2002-01-03
Cryptography Architecture for Information Security.
138-150
1978
17
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Lennon78
2002-01-03
Modeling and Software Development Quality.
351-362
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Kan91
2002-01-03
A Standard for Architecture Description.
32-50
1999
38
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#YoungsRSK99
2002-01-03
Modeling in Three-Dimensions.
310-321
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Appel68
2002-01-03
DS-Viewer - An Interactive Graphical Data Structure Presentation Facility.
307-323
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Pazel89
2002-01-03
Information Reuse Parallels Software Reuse.
615-620
1993
32
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Yglesias93
2002-01-03
Common User Access - A Consistent and Usable Human-Computer Interface for the SAA Environments.
281-300
1988
27
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Berry88
2002-01-03
The Designer's Model of the CUA Workplace.
429-458
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Berry92
2002-01-03
A Real-Time Systems Context for the Framework for Information Systems Architecture.
20-38
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#SchochL95
2002-01-15
Introduction of the Project Management Discipline in a Software Development Organization.
265-277
1993
32
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Raz93
2002-01-03
Fabrication and Assembly Operations Part II: Long-Range Planning Techniques.
94-104
1965
4
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Calica65
2002-01-03
Visual Programming: Perspectives and Approaches.
525-547
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Shu89
2002-01-03
Visual Programming: Perspectives and Approaches.
199-221
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Shu99
http://www.research.ibm.com/journal/sj/382/shu.pdf
2002-01-03
Program Locality of Vectorized Applications Running on the IBM 3090 with Vector Facility.
436-452
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#SoZ88
2002-01-03
Rapid Delivery: An Evolutionary Approach for Application Development.
397-419
1993
32
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Hough93
2002-01-03
Effective Application Development for Presentation Manager Programs.
44-58
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#FranklinP90
2002-01-03
Learning and expressing.
683-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/bender.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Bender00
2002-01-03
Preface.
2-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Hoffnagle00
2002-01-03
Preface: Software Quality.
2-3
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#Hoffnagle94
2002-01-03
Penetrating an Operating System: A Study of VM/370 Integrity.
102-116
1976
15
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#AttanasioMP76
2002-09-06
Toward speech as a knowledge resource.
985-1001
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/brown.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#BrownSCPCA01
2002-01-03
A General Purpose Digital Simulator and Examples of Its Application Part I: Description of the Simulator.
22-34
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#EfronG64
2002-01-03
Systems Network Architecture: An Overview.
4-23
1976
15
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#McFadyen76
2002-01-03
The EPISTLE Text-Critiquing System.
305-326
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#HeidornJMBC82
2002-01-03
The Effects of the Business Model on Object-Oriented Software Development Productivity.
140-161
1997
36
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#PotokV97
2002-01-03
An Overview of Three Relational Data Base Products.
100-111
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Kahn84
2002-01-03
The Design Rationale of the System/38 User Interface.
384-423
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Botterill82
2002-01-03
Design Rationale of the AS/400 User Interface.
443-464
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Botterill89
2002-01-03
Service and Traffic Management for IBCN.
711-727
1992
31
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#GeihsFGKM92
2002-01-03
Business/Enterprise Modeling.
509-525
1990
29
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Katz90
2002-01-03
Macro Language Design for System/360.
62-77
1966
5
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Freeman66
2002-01-03
Information Technology and the Management Difference: A Fusion Map.
17-39
1993
32
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Keen93
2002-01-03
Performance Analysis for the Skylab Terminal System.
94-114
1974
13
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#Mancini74
2002-01-03
GARDEN - An Integrated and Evolving Environment for ULSI/VLSI CAD Applications.
580-599
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#LimaMSC89
2002-01-03
Data Management for the Distributed Processing Programming Executive (DPPX).
547-564
1979
18
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#FitzgeraldG79
2002-01-03
Structured Programming for Virtual Storage Systems.
385-406
1975
14
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Rogers75
2002-01-03
A Simple Architecture for Consistent Application Program Design.
199-213
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Rogers83
2002-09-06
Evolving communities of practice: IBM Global Services experience.
842-862
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/gongla.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#GonglaR01
2002-09-06
Using a model-based test generator to test for standard conformance.
89-110
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/farchi.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#FarchiHP02
2002-01-03
IBM Database 2 - Preface.
98-99
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Lacy84
2002-01-03
A Distributed Information System Study.
374-401
1979
18
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Ziegler79
2002-01-03
A Computer-Operated Laboratory Data-Taking System.
240-247
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#ColeOC63
2002-09-06
Developing XML Web services with WebSphere Studio Application Developer.
178-197
2002
41
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/412/lau.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#LauR02
2002-01-03
VM/370, Attached Processor, and Multiprocessor Performance Study.
375-385
1984
23
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#TetzlaffB84
2002-09-06
The GeneMine system for genome/proteome annotation and collaborative data mining.
592-611
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/lee.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#LeeI01
2002-01-03
Top-Down Development Using a Program Design Language.
155-170
1976
15
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Leer76
2002-01-03
Technical Reference Architectures.
51-75
1999
38
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#LloydG99
2002-01-03
A Network Algorithm for Empty Freight Car Allocation.
147-169
1969
8
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#WhiteB69
2002-01-03
Design of an Integrated Programming and Operating System Part VI: Implementation on the 7040/44 Data Processing System.
79-94
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#WhiteT64
2003-03-20
Bridging the framework modeling and implementation gap.
267-
2000
39
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Bunting00
http://www.research.ibm.com/journal/sj/392/bunting.html
2002-09-06
Functional classification of proteins by pattern discovery and top-down clustering of primary sequences.
379-393
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/liu.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#LiuC01
2002-01-03
System R: An Architectural Overview.
41-62
1981
20
IBM Systems Journal
1
db/journals/ibmsj/BlasgenACGKLLMPPSSSSTWY81.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#BlasgenACGKLLMPPSSSSTWY81
ibmsj/20/P041.pdf
2002-01-03
Turning Pervasive Computing Into Mediated Spaces.
677-692
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Mark99
2002-01-03
Forging a Silver Bullet from the Essence of Software.
20-45
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#Mays94
2002-01-03
System R: An Architectural Overview.
375-396
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#BlasgenACGKLLMPPSSSSTWY99
db/journals/ibmsj/BlasgenACGKLLMPPSSSSTWY81.html
http://www.research.ibm.com/journal/sj/382/blasgen.pdf
2002-01-03
Describing Data in Computer Networks.
257-282
1973
12
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Fredericksen73
2002-09-06
Preface.
328-330
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/hong.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#HongRB02
2002-01-03
VM/ESA: A Single System for Centralized and Distributed Computing.
4-13
1991
30
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Fischofer91
2002-09-06
The knowledge management puzzle: Human and social factors in knowledge management.
863-884
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/thomas.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#ThomasKE01
2002-01-03
On the Reliability of Polymorphic Systems.
43-52
1965
4
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Welch65
2002-09-06
Intelligent probing: A cost-effective approach to fault diagnosis in computer networks.
372-385
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/brodie.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#BrodieRM02
2002-01-03
Systems Management.
140-159
1980
19
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#BirdH80
2002-01-03
Automatic Generation of Random Self-Checking Test Cases.
229-245
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#BirdM83
2002-01-03
Microprogram Control for System/360.
222-241
1967
6
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Tucker67
2002-01-03
The IBM 3090 System: An Overview.
4-19
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Tucker86
2002-01-03
Functional Structure of IBM Virtual Storage Operating Systems. Part III: Architecture and Design of DOS/VS.
401-411
1973
12
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Birch73
2002-01-03
Porting DPPX from the IBM 8 100 to the IBM ES/9370: Feasibility and Overview.
90-105
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#AbrahamG90
2002-12-19
Note on Random Addressing Techniques.
112-116
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Heising63
2002-01-03
A Scalable Implementation of the NAS Parallel Benchmark BT on Distributed Memory Systems.
273-291
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#Naik95
2002-09-06
Characteristics of production database workloads and the TPC benchmarks.
781-802
2001
40
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/403/hsu.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#HsuSY01
2002-01-03
Statistical Classification Techniques.
136-151
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Kossack63a
2002-01-03
The System Usability Process for Network Management Products.
83-91
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Gottschalk86
2002-01-03
NetView Version 2 Release 3 Graphic Monitor Facility: Network Management Graphics Support for the 1990s.
223-251
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Gottschalk92
2002-01-03
Technical Overview of IBM's Java Initiatives.
308-322
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Gottschalk98
2002-01-03
Contrasting Paradigms for the Development of Wearable Computers.
551-565
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#BaberHW99
2002-01-03
Capitalizing on Intellectual Assets.
570-583
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Huang98
2002-01-03
Generalized Audit Trail Requirements and Concepts for Data Base Applications.
229-245
1975
14
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Bjork75
2002-01-03
Architecture Prototyping in the Software Engineering Environment.
4-18
1984
23
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Beregi84
2002-01-03
Design and Performance Considerations for the Retail Store System.
64-80
1975
14
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#BerkDH75
2002-01-03
On Midofying the 1620 ADD Table.
82-83
1962
1
IBM Systems Journal
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj1.html#Gerson62
2002-01-03
A Cryptographic Key Management Scheme for Implementing the Data Encryption Standard.
106-125
1978
17
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#EhrsamMMT78
2002-01-03
Emerging frameworks for tangible user interfaces.
915-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part3/ullmer.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#UllmerI00
2002-01-03
Catapults and Grappling Hooks: The Tools and Techniques of Information Warfare.
106-114
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Boulanger98
2002-01-03
Concepts of Financial Models.
113-125
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Kingston73
2002-01-03
Using Box Structures for Definition of Requirements Specifications.
59-78
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Odom90
2002-09-06
Text analysis and knowledge mining system.
967-984
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/nasukawa.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#NasukawaN01
2002-01-03
Deriving Programs Using Generic Algorithms.
158-181
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#YakhnisFS94
2002-01-03
Applications for data hiding.
547-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/bender.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#BenderBGHPP00
2002-01-03
A Guide to Programming Tools and Techniques.
234-254
1972
11
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#Pomeroy72
2002-01-03
Security challenges for Enterprise Java in an e-business environment.
130-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/koved.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#KovedNNPS01
2003-10-13
IBM Business Frameworks: San Francisco Project Technical Overview.
437-445
1997
36
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#ArnoldBDHHJPW97
2002-01-03
The Formal Description of Programming Languages.
86-112
1971
10
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Neuhold71
2002-01-03
Computer Processing of Dates Outside the Twentieth Century.
244-251
1986
25
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Ohms86
2002-01-03
Technical Note - IMS Celebrates Thirty Years as an IBM Product.
596-603
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Blackman98
2002-01-03
A Case Study of Office Workstation Use.
351-369
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#BullenBC82
2002-01-03
The Evolution of the Common User Access Workplace Model.
414-428
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#BerryR92
2002-01-03
The Role of the Operator in the Supermarket and Retail Store Systems.
35-45
1975
14
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Antonelli75
2002-01-03
Impact of Memory Systems on Computer Architecture and System Organization.
274-305
1986
25
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Matick86
2002-01-03
Business Component Prototyper for SanFrancisco: An experiment in architecture for application development tools.
248-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/vanemdeboas.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Boas-Lubsen00
2002-01-03
Modeling Distributed Processing Across Multiple CICS/VS Sites.
471-489
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#AckerS82
2002-01-03
CICS/VS and its Role in Systems Network Architecture.
258-286
1977
16
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#EadeHJ77
2002-01-03
A Capacity Planning Methodology.
28-45
1980
19
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Cooper80
2002-01-03
Internet Messaging Frameworks.
4-18
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#KanelGLS98
2002-01-03
A Key-Management Scheme Based on Control Vectors.
175-191
1991
30
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#MatyasLA91
2002-01-03
Worldwide Systems Engineering.
182-188
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Peck85
2002-01-03
Business Language Analysis for Object-Oriented Information Systems.
128-150
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#McDavid96
2002-01-03
A Standard for Business Architecture Description.
12-31
1999
38
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#McDavid99
2002-09-06
The Software Testing Automation Framework.
126-139
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/rankin.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#Rankin02
2002-01-03
Design, Test, and Validation of the Application System/400 Through Early User Involvement.
376-385
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Pine89
2002-01-03
NBBS Network Management.
725-750
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#Owen95
2002-01-03
Intelligent Forms Processing.
435-450
1990
29
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#CaseyF90
2002-01-03
Multiple Operating Systems on One Processor Complex.
104-123
1989
28
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#BordenHR89
2002-01-03
Customized Systems for Engineering Applications.
94-113
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#HazonyZ92
2002-09-06
A high-throughput distributed DNA sequence analysis and database system.
464-488
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/inman.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#InmanFMWB01
2002-01-03
On Teleprocessing System Design Part VI: The Role of Digital Simulation.
175-189
1966
5
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Seaman66
2002-01-03
Modeling Considerations for Predicting Performance of CICS/VS Systems.
68-80
1980
19
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Seaman80
2002-01-03
Design of an Integrated Programming and Operating System Part III: The Expanded Function of the Loader.
298-310
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Hedberg63
2002-01-03
Determining Economic Sampling Plans.
220-244
1969
8
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#StacyHP69
2002-01-03
Partial Compilation of REXX.
312-321
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#PinterVW91
2002-01-03
Key Handling with Control Vectors.
151-174
1991
30
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Matyas91
2002-01-03
Frontier: A Graphical Interface for Portfolio Optimization in a Piecewise Linear-Quadratic Risk Framework.
62-70
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#JensenK92a
2002-01-03
IBM's Directions in Technical Computing.
393-403
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#PrairieW88
2002-01-03
Full-Screen Testing of Interactive Applications.
246-261
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Maurer83
2002-01-03
Improving the Usability of Programming Publications.
306-320
1981
20
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#BethkeDKOP81
2002-01-03
Directions in Cooperative Processing Between Workstations and Hosts.
236-244
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#GoldsteinHMW84
2002-01-03
E-broidery: Design and fabrication of textile-based computing.
840-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part3/post.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#PostORG00
2002-01-03
Data Base Security: Requirements, Policies, and Models.
229-252
1980
19
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#WoodFS80
2002-01-03
A C Programming Model for OS/2 Device Drivers.
322-335
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Feriozi91
2002-01-03
A Split Model for OS/2 SCSI Device Drivers.
114-122
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Feriozi92
2002-09-06
Discovering actionable patterns in event data.
475-493
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/hellerstein.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#HellersteinMP02
2002-01-15
A Message Management System for Personal Computers.
479-493
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#dArielli89
2002-01-03
Engineering and Scientific Subroutine Library for the IBM 3090 Vector Facility.
404-415
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#McCombS88
2002-01-03
Computing and Communications - A Perspective of the Evolving Environment.
189-201
1979
18
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Branscomb79
2002-01-03
The Portable Inference Engine: Fitting Significant Expertise Into Small Systems.
236-243
1986
25
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#BurnsAISF86
2002-01-03
Fabrication and Assembly Operations Part V: Production Order Sequencing.
225-240
1965
4
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Calica65a
2002-01-03
User Behavior on an Interactive Computer System.
2-18
1974
13
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#Boies74
2002-01-03
User Behavior on an Interactive Computer System.
162-179
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Boies99
http://www.research.ibm.com/journal/sj/382/boies.pdf
2002-01-03
Technical note - IBS Consist two years later: The LEGO brick dream.
301-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/vandersalm.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Salm00
2002-01-15
Introducing Shareable Frameworks into a Procedural Development Environment.
200-214
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Salm98
2002-01-03
The Extended Control Language of MPSX/370 and Possible Applications.
64-81
1978
17
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#SlateS78
2002-01-03
Improving Business and Information Strategy Alignment: Learning from the Banking Industry.
162-179
1993
32
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#BroadbentW93
2002-01-03
Enterprise Modeling Advantages of San Francisco for General Ledger Systems.
170-180
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Inman98
2002-01-03
Automatic Programming for Energy Management Using Sensor Based Computers.
457-469
1979
18
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Shah79
2002-01-03
VSAM Record-Level Data Sharing.
361-370
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#Strickland97
2002-01-03
Software Development: Processes and Performance.
552-569
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#SawyerG98
2002-09-06
A probabilistic estimation framework for predictive modeling analytics.
438-448
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/apte.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#ApteNPT02
2002-01-03
Specification and Implementation of an ISO Session Layer.
255-275
1987
26
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#FleischmannCE87
2002-01-03
Implementing Tool Support for Box Structures.
79-89
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Tagg90
2002-01-03
<sup>x</sup>with the Use of Large Tables.
102-114
1966
5
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Spielberg66
2005-02-21
A platform for business-to-business sell-side, private exchanges and marketplaces.
242-252
2002
41
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/412/sairamesh.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#SairameshMKHB02
2002-01-03
Economic Evaluation of Management Information Systems.
2-23
1963
2
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#BoydK63
2002-09-06
Architectures for intelligent systems.
331-349
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/sowa.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#Sowa02
2002-01-03
Natural Language Programming: Styles, Strategies, and Contrasts.
184-215
1981
20
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Miller81
2002-01-03
Technical Note - A Proposal to Simplify Data Flow Diagrams.
118-121
1999
38
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Millet99
2002-01-03
The Role of Work Management in Application Development.
189-208
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#ChroustGG90
2002-01-03
HIPO and Integrated Program Design.
143-154
1976
15
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Stay76
2002-01-03
Implementing the Simplex Method for the Optimization Subroutine Library.
11-25
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#ForrestT92
2002-01-03
An Application-Oriented Multiprocessing System Part V: The Diagnostic Monitor.
115-123
1967
6
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Suda67
2002-01-03
Potential Technology Implications for Computers and Telecommunications in the 1980s.
333-347
1979
18
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Frazer79
2002-01-03
Design of the IBM 8100 Data Base and Transaction Management System - DTMS.
565-581
1979
18
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Waters79
2002-01-03
Communication and Organization in Software Development: An Empirical Study.
550-563
1997
36
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#SeamanB97
2002-01-03
Simulating Operating Systems.
264-279
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#SeamanS69
2002-01-03
Requirements Generation, Explosions, and Bills of Material.
268-287
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Church63
2002-01-03
The Peterlee Relational Test Vehicle - A System Overview.
285-308
1976
15
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Todd76
2002-01-03
An Approach to Improving Existing Measurement Frameworks.
484-501
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#MendoncaBBD98
2002-01-03
Public Data Networks: Their Evolution, Interfaces, and Status.
223-243
1979
18
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#HalseyHP79
2002-01-03
Analytic Queuing Model for CICS Capacity Planning.
454-470
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Deitch82
2002-01-03
A Method of Programming Measurement and Estimation.
54-73
1977
16
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#WalstonF77
2002-01-03
Technical Forum: Programming Quality Improvement in IBM.
215-219
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#Bencher94
2002-01-03
Performance and Availability Measurement of the IBM Information Network.
404-416
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#BaileyS83
2002-01-03
Java Management Extensions for application management.
104-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/kreger.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Kreger01
2002-01-03
Event-Driven network Topology Monitoring Function.
25-36
1996
35
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#ChaoT96
2002-01-03
A Queuing Model for a Simple Case of Time Sharing.
115-125
1966
5
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Chang66
2002-01-03
Single-Server Queuing Processes in Computing Systems.
36-71
1970
9
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Chang70
2002-01-03
Technical Note-Using the San Francisco Frameworks with VisualAge for Java.
215-225
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Polan98
2002-01-03
Creating Global Software: Text Handling and Localization in Taligent's CommonPoint Application System.
227-243
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#DavisGK96
2002-01-03
Knowledge-Based Systems in the Commercial Environment.
147-158
1986
25
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#HodilBR86
2002-01-03
Evaluating System Changes under Uncontrolled Workloads: A Case Study.
340-352
1975
14
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#FriedmanW75
2002-01-03
OS/2 Query Manager Overview and Prompted Interface.
119-133
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Watson88
2002-01-03
Experiences with Object-Oriented Group Support Software Development.
96-119
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#HayneP95
2002-01-03
Application Development as an Engineering Discipline: Revolution of Evolution?
4-11
1997
36
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#Bieberstein97
2002-01-03
A System for Implementing Interactive Applications.
257-270
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#ChenD68
2002-01-03
History and Contributions of the IBM Scientific Centers.
502-524
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#KolskyM89
2002-09-06
An architecture for the Internet Key Exchange Protocol.
721-746
2001
40
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/403/cheng.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Cheng01
2002-01-03
Trace-Driven System Modeling.
280-289
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Cheng69
2002-01-03
Image System Communications.
371-383
1990
29
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#MorrisO90
2002-01-03
Technical note - Business function specification of commercial applications.
315-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/bevington.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Bevington00
2002-01-03
A Tight Coupling of Workstations.
255-263
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Chess84
2002-01-03
Conversational Job Control.
271-280
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Brown68
2002-01-03
Integrated Hypertext and Program Understanding Tools.
363-392
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Brown91
2002-01-03
Collecting Product-Based Usability Requirements.
4-19
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#DiAngeloP95
2002-01-03
File Organization and Addressing.
86-111
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Buchholz63
2002-01-03
A Synthetic Job for Measuring System Performance.
309-318
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Buchholz69
2002-01-03
The IBM System/370 Vector Architecture.
51-62
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Buchholz86
2002-01-03
The Design of the REXX Language.
326-335
1984
23
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Cowlishaw84
2002-01-03
Systems Management for Coordinated Resource Recovery.
90-106
1991
30
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#BennettBMA91
2002-01-03
A Performance Model of MVS.
444-462
1978
17
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#ChiuC78
2002-01-03
A General Management Business Simulation in APL.
169-180
1972
11
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#Wahi72
2002-01-03
Management Considerations for an Information Center.
131-161
1982
21
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Hammond82
2002-01-03
Color as a Determined Communication.
526-538
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#JacobsonB96
2002-01-03
LABS/7 - A Distributed Real-Time Operating System.
81-101
1976
15
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#RaimondiGHMS76
2002-09-06
Software debugging, testing, and verification.
4-12
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/hailpern.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#HailpernS02
2002-01-03
Design and Use of a Program Execution Analyzer.
271-
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Power83
2002-01-03
APPC/MVS Distributed Application Support.
381-408
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Voss92
2002-01-03
The Job Entry Subsystem of OS/VS1.
253-269
1974
13
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#BailyHS74
2002-01-03
Optimum Response Analysis.
49-56
1963
2
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Kossack63
2002-09-06
Web servces management approaches.
212-227
2002
41
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/412/farrell.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#FarrellK02
2002-01-03
Preface.
242-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Hoffnagle00a
2002-01-03
Preface.
415-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Hoffnagle00b
2002-01-03
Preface: Database Technologies.
226-227
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#Hoffnagle94a
2002-01-03
Automating the Software Development Process.
102-120
1985
24
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#HoffnagleB85
2002-01-03
Generation, Distribution, and Installation of Cryptographic Keys.
126-137
1978
17
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#MatyasM78
2002-01-03
System Generator for Producing Manufacturing Applications.
69-93
1996
35
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Hazony96
2002-01-03
Morphologically Based Automatic Phonetic Transcription.
486-511
1993
32
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Wothke93
2002-09-06
Introduction to Web services architecture.
170-177
2002
41
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/412/gottschalk.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#GottschalkGKS02
2002-01-03
Dynamic Storage Allocation for a Real-Time System.
230-239
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Witt63
2002-01-03
The Functional Structure of OS/360 Part II: Job and Task Management.
12-29
1966
5
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Witt66
2002-01-03
SNA Routing: Past, Present, and Possible Future.
417-434
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#JaffeMW83
2005-06-15
Services Supporting Management of Distributed Applications and Systems.
508-526
1997
36
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#BauerBRFKLMMOPRTW97
2002-01-03
Net Change Material Requirements Planning.
2-29
1973
12
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Orlicky73
2002-01-03
Data Description and Conversion Architecture.
488-515
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#DemersY92
2002-01-03
Automated Logical Data Base Design: Concepts and Applications.
287-312
1977
16
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#RaverH77
2002-01-03
Evaluation of a Predicate-Based Software Testing Strategy.
445-457
1994
33
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#TaiVPL94
2002-01-03
Techniques for Developing Analytic Models.
316-328
1972
11
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#AnthonyW72
2002-01-03
Distributed Files for SAA.
348-361
1988
27
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Demers88
2002-01-03
An Interactive Graphics System for Analysis of Business Decisions.
238-256
1973
12
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#RavinS73
2002-01-03
Automatist Storyteller Systems and the Shifting Sands of Story.
446-456
1997
36
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#DavenportM97
2002-01-03
The Project Automated Librarian.
214-228
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Prager83
2002-01-03
SpeedTracer: A Web Usage Mining and Analysis Tool.
89-105
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#WuYB98
2002-01-03
Intermediaries: An Approach to Manipulating Information Streams.
629-641
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#BarrettM99
2002-01-03
The last Book.
457-463
1997
36
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#JacobsonCTAT97
2002-01-03
Support for Enterprise JavaBeans in Component Broker.
502-538
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#CodellaDFJMS98
2002-01-03
Evaluation Techniques for Storage Hierarchies.
78-117
1970
9
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#MattsonGST70
2002-01-03
Message-Handling Systems Based on the CCITT X.400 Recommendations.
235-254
1987
26
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#SchuttSR87
2002-01-03
Conventions for Digital Data Communication Link Design.
267-302
1967
6
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Eisenbies67
2004-02-09
Parallel File Systems for the IBM SP Computers.
222-248
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#CorbettFPABBHSSCHKMZ95
2002-01-03
An Analysis of the Machine Interference Model.
129-142
1971
10
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Ferdinand71
2002-01-03
ESA/390 Integrated Cryptographic Facility: An Overview.
192-205
1991
30
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#YehS91
2002-01-03
CICS and Enterprise JavaBeans.
46-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/bainbridge.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#BainbridgeCCN01
2002-01-03
The Information FrameWork.
37-68
1996
35
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Evernden96
2004-12-15
Preface.
812-813
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#RitskoB01a
2002-09-06
Preface.
247
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/preface.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#RitskoB01b
2002-01-03
Interactive Aeronautical Charting.
219-233
1970
9
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Luetje70
2002-01-03
The Functional Structure of OS/360 Part III: Data Management.
30-50
1966
5
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Clark66
2002-01-03
Software Simulation as a Tool for Usable Product Design.
272-293
1981
20
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Clark81
2002-01-03
The Facilities and Evolution of MVS/ESA.
124-150
1989
28
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Clark89
2002-01-03
A System for the Automated Office Environment.
321-345
1981
20
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Gardner81
2002-01-03
Prolog for Applications Programming.
190-206
1986
25
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Wilson86
2002-01-03
Technical Note - WebEntree: A Web Service Aggregator.
584-595
1998
37
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Zhao98
2002-01-03
An Integrated Approach to Centralized Communications Network Management.
484-506
1979
18
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Weingarten79
2002-01-03
Architectures of Advanced Function Printing.
234-245
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#DeBryPBM88
2002-01-03
Effects of Storage Contention on System Performance.
319-333
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#SkinnerA69
2002-01-03
Access Services for the Networking BroadBand Services Architecture.
659-671
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#ImmanuelKSSV95
2002-01-03
The Role of Detailed Simulation in Capacity Planning.
81-101
1980
19
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#NgyuenORS80
2002-01-03
It's not just information.
816-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/resnick.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Resnick00
2002-01-03
National Westminster Bank Mass Storage Archiving.
344-358
1978
17
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Gravina78
2002-01-03
Automatic Generation of Test Cases.
242-257
1970
9
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Hanford70
2002-01-03
The Structure of System/88, a Fault-Tolerant Computer.
293-318
1987
26
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#HarrisonS87
2002-01-03
System/370 Capability in a Desktop Computer.
245-254
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#KozuhLS84
2002-01-03
REASON: An Intelligent User Assistant for Interactive Environments.
141-164
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#PragerLGB90
2002-01-03
Measurement of System Operational Statistics.
299-308
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Stanley69
2002-09-06
ABLE: A toolkit for building multiagent autonomic systems.
350-371
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/bigus.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#BigusSPMD02
2002-09-06
Testing z/OS: The premier operating system for IBM's zSeries server.
55-73
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/loveland.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#LovelandMPS02
2002-01-03
On-Line Inquiry Under a Small-System Operating System.
2-11
1970
9
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Darga70
2002-01-03
IBM Database 2 in an Information Management System Environment.
165-177
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#DashO84
2002-01-03
A Single-System Interface Using the IBM 3270-PC.
236-247
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Ghiotti85
2002-01-03
The Application System/400 Help Facility-Design Philosophy and Considerations.
424-442
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Charland89
2002-01-03
Intelligent Agents Extend Knowledge-Based Systems Feasibility.
78-95
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#Elofson95
2002-01-03
ABC++: Concurrency by Inheritance in C++.
120-137
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#ArjomandiOKKEG95
2002-01-03
Strategies for Information Requirements Determination.
4-30
1982
21
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Davis82
2002-01-03
Procedures of the Human Factors Center at San Jose.
123-171
1981
20
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Hirsch81
2002-01-03
A Pseudo-Random Number Generator for the System/360.
360-146
1969
8
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#LewisGM69
2002-01-03
IBM eNetwork Host On-Demand: The Beginning of a New Era for Accessing Host Information in a Web Environment.
133-151
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#TanLRH98
2002-01-03
Software Quality: An Overview from the Perspective of Total Quality Management.
4-19
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#KanBS94
2002-01-03
In-process metrics for software testing.
220-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/kan.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#KanPM01
2002-01-03
Things that talk: Using sound for device-to-device and device-to-human communication.
530-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/gerasimov.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#GerasimovB00
2002-01-03
Virtual Control Storage - Security Measures in VM/370.
93-110
1979
18
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Attanasio79
2002-01-03
VGA - Design Choices for a New Video Subsystem.
185-197
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Thompson88
2002-01-03
Data Communications: The Implications of Communcation Systems for Protocol Design.
122-137
1987
26
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#GoldsteinJ87
2002-01-03
JaViz: A client/server Java profiling tool.
96-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/kazi.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#KaziJBHKKLY00
2002-01-03
VM/ESA CMS Shared File System.
52-
1991
30
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#StoneNC91
2002-01-03
Windows in the Sky-Flow Control in SNA Networks with Satellite Links.
451
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#GroverB83
2002-01-03
The Interactive Balloon: Sensing, Actuation, and Behavior in a Common Object.
473-487
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Paradiso96
2002-01-03
Experience Gained in Implementing ImagePlus.
467-488
1990
29
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#PerryWBK90
2002-01-03
Decision Support at Lands' End - An Evolution.
228-238
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#BustamenteS94
2002-01-03
DB2's Use of the Coupling Facility for Data Sharing.
327-351
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#JostenMNT97
2002-01-03
Evolution of a Virtual Machine Subsystem.
111-142
1979
18
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#HendricksH79
2002-01-03
SP2 System Architecture.
152-184
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#AgerwalaMMSDS95
2002-01-03
SP2 System Architecture.
414-446
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#AgerwalaMMSDS99
http://www.research.ibm.com/journal/sj/382/agerwala.pdf
2002-01-03
Object Technology in Perspective.
124-127
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Radin96
2002-01-03
Merge-Sort Analysis by Matrix Techniques.
226-247
1966
5
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Radke66
2002-01-12
Computer Construction of Minimal Project Networks.
24-36
1963
2
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Dimsdale63
2002-01-03
Design of an Integrated Programming an Operating System Part II: The Assembly Program and Its Language.
162-179
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Talmadge63
2002-01-03
Prolog at IBM: An Advanced and Evolving Application Development Technology.
755-773
1992
31
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#BenichouBGB92
2002-01-03
Structured Design.
115-139
1974
13
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#StevensMC74
2002-01-03
Structured Design.
231-256
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#StevensMC99
http://www.research.ibm.com/journal/sj/382/stevens.pdf
2002-01-03
MetaCricket: A designer's kit for making computational devices.
795-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/martin.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#MartinMS00
2002-01-03
The IBM 5100 and the Research Device Coupler - A Personal Laboratory Automation System.
41-53
1977
16
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#ColeG77
2002-01-03
The Foundations of Suitability of APL2 for Music.
513-526
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#JordanF91
2002-01-03
VSAM Data Set Design Parameters.
186-212
1974
13
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#KeehnL74
2002-01-03
Management of Multivendor Networks.
189-205
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Stevenson92
2002-01-03
A Language for Three-Dimensional Geometry.
292-308
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Comba68
2002-01-03
Exponentiation Cryptosystems on the IBM PC.
526-538
1990
29
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Comba90
2002-01-03
SuperNews: Multiple feeds for multiple views.
633-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/elodean.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#DeanW00
2002-01-03
Computing Center Optimization by a Pricing-Priority Policy.
272-291
1975
14
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Ghanem75
2002-01-03
The Cross System Product Application Generator: An Evolution.
384-390
1988
27
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#HaynesDH88
2002-01-03
An Application-Oriented Multiprocessing System Part I: Introduction.
78-79
1967
6
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Keeley67
2002-01-03
Synergistic storyscapes and constructionist cinematic sharing.
456-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/davenport.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#DavenportABBB00
2002-01-03
Program Understanding: Challenge for the 1990s.
294-306
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Corbi89
2002-01-03
Multiprotocol Transport Networking: Eliminating Application Dependencies on Communications Protocols.
472-500
1995
34
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#PozefskyTESMBTPFHDD95
2002-01-03
Analysis of Free-Storage Algorithms.
283-304
1971
10
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#MargolinPS71
2002-01-03
SNA and Emerging International Standards.
244-262
1979
18
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#CorrN79
2002-01-03
Performance Investigations with a DOS/VS-Based Operating System Model.
409-443
1978
17
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Kraemer78
2002-01-03
The Impact of Object-Orientation on Application Development.
420-444
1993
32
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Cockburn93
2002-01-03
The Impact of Object-Orientation on Application Development.
308-332
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Cockburn99
http://www.research.ibm.com/journal/sj/382/cockburn.pdf
2002-01-03
Numerical Simulation of Reactive Flow on the IBM ES/3090 Vector Multiprocessor.
788-797
1992
31
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#HebekerMS92
2002-01-03
Hyperlinked television research at the MIT Media Laboratory.
470-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/bove.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#BoveDCA00
2002-01-03
Advanced Function Extended with Tightly-Coupled Multiprocessing.
32-59
1974
13
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#McKinnon74
2002-01-03
Avoiding Deadlock in Multitasking Systems.
74-84
1968
7
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Havender68
2002-01-03
Generation of Input Data for Simulations.
288-296
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Yagil63
2002-01-03
Perspectives on Multimedia Systems in Education.
280-295
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#ReismanC91
2002-01-03
A User-Oriented Data-Base Retrieval System.
4-17
1977
16
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#Jones77
2002-01-03
Measuring Programming Quality and Productivity.
39-63
1978
17
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Jones78
2002-01-03
A Process-Integrated Approach to Defect Prevention.
150-167
1985
24
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Jones85
2002-01-03
Human-Powered Wearable Computing.
618-629
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Starner96
2002-01-03
Abstract Design and Program Translator: New Tools for Software Design.
170-187
1983
22
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#ArchibaldLP83
2002-09-06
DiscoveryLink: A system for integrated access to life sciences data sources.
489-511
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/haas.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#HaasSKKRS01
2002-01-03
A Comparative Study of System Response Time on Program Developer Productivity.
36-43
1984
23
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Lambert84
2002-01-03
Hierarchical Control Programs for Systems Evaluation.
123-133
1968
7
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Keefe68
2002-09-06
Message from the Vice President, Serivces and Software, Research Division.
2002
41
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/413/message.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#Spector02
2002-01-03
Verification of the IBM RISC System/6000 by a Dynamic Biased Pseudo-Random Test Program Generator.
527-538
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#AharonBDGLS91
2002-01-03
An Application Analyzer.
336-350
1984
23
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#AmbrosettiCP84
2002-01-03
Programming Notation in Systems Design.
117-128
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Iverson63
2002-01-03
A Personal View of APL.
582-593
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Iverson91
2002-01-03
Programming Notation in Systems Design.
139-150
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Iverson99
http://www.research.ibm.com/journal/sj/382/iverson.pdf
2002-01-03
Availability in Parallel Systems: Automatic Process Restart.
284-300
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#BowenARM97
2002-01-03
Just-in-time information retrieval agents.
685-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/rhodes.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#RhodesM00
2002-01-03
A Distributed System Architecture for a Distributed Application Environment.
399-425
1994
33
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#BauerCEFHLPSTT94
2002-01-03
A Locking Facility for Parallel Systems.
202-220
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#BowenEIW97
2002-01-03
GREENPRINT: A Graphic Representation of Structured Programs.
542-553
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#BeladyEP80
2002-01-03
Encoding Verbal Information as Unique Numbers.
278-315
1972
11
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#HagamenLLW72
2002-01-03
John C. Weber: A Program Generator.
102-133
1975
14
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#HagamenLMN75
2002-01-03
The Management of Software Engineering. Part II: Software Engineering Program.
421-431
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#ONeill80
2002-01-03
Tools for Building Advanced User Interfaces.
354-368
1986
25
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#Bennett86
2002-01-03
A Global Approach to Crew-Pairing Optimization.
71-78
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#AnbilTJ92
2004-07-20
Towards an Integrated Development Environment.
81-107
1982
21
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#Newman82
2002-01-03
A Token-Ring Network for Local Data Communications.
47-62
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#DixonSM83
2002-01-03
Overview of the IBM Java Just-in-Time Compiler.
175-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/suganuma.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#SuganumaOTYKIKN00
2002-01-03
Administrative Control of Computing Service.
151-178
1978
17
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Gladney78
2002-01-03
A Storage Subsystem for Image and Records Management.
512-540
1993
32
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Gladney93
2002-01-03
Internal Data Management Techniques for DOS/360.
38-48
1967
6
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#RicourM67
2002-01-03
Strategies for Problem Prevention.
248-263
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Newton85
2002-01-03
A General Purpose Digital Simulator and Examples of Its Application Part II: Simulation of a Telephone Intercept System.
35-40
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#Velasco64
2002-01-03
System Productivity Facility.
388-406
1981
20
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Joslin81
2002-01-03
An Economic Lot-Sizing Technique I: The Part-Period Algorithm.
30-38
1968
7
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#DeMatteis68
2002-01-03
Overview of the Capacity Planning Process for Production Data Processing.
4-27
1980
19
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Bronner80
2002-01-03
System Aspects of Large-Problem Computation and Display.
41-55
1972
11
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#FrommS72
2002-01-03
Understanding Device Drivers in Operating System/2.
170-184
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Mizell88
2002-01-03
Managing VM/CMS Systems.
604-607
1979
18
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Reich79
2002-01-03
The Open Document Architecture: From Standardization to the Market.
728-754
1992
31
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#FanderlFK92
2002-01-03
An Auxiliary Processing System for Array Calculations.
118-135
1969
8
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#RuggieroC69
2002-01-03
Customer Information Control System - An Evolving System Facility.
264-278
1985
24
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Yelavich85
2002-01-03
The IPS Cryptographic Programs.
253-283
1980
19
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#KonheimMMTW80
2002-01-03
The Continuing Evolution of Advanced Function Printing.
665-683
1993
32
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#HowarthP93
2002-01-03
Techniques for Data Hiding.
313-336
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#BenderGML96
2002-01-03
New Competitive Strategies: Challenges to Organizations and Information Technology.
40-64
1993
32
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#BoyntonVP93
2002-01-03
Cross System Product Application Generator: Application Design.
265-273
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#Dewell90
2002-09-06
An integration platform for heterogeneous bioinformatics software components.
570-591
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/siepel.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#SiepelTFSSPB01
2002-01-03
Extending the Domain of APL.
446-455
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Wheatley91
2002-01-03
JANUS: An Interactive Document Formatter Based on Declarative Tags.
250-271
1982
21
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#ChamberlinBGKSTW82
2002-01-03
Internal Sorting with Minimal Comparing.
189-203
1969
8
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Woodrum69
2002-01-03
A Model of Floating Buffering.
118-144
1970
9
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#Woodrum70
2002-01-03
Data Structures and Accessing in Data-Base Systems. I: Evolution of Information Systems.
30-44
1973
12
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#SenkoAAF73
2002-01-03
NetView/PC.
32-44
1988
27
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#AhmadiCG88
2002-01-03
An Interpretive Program for Matrix Arithmetic.
2-24
1965
4
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#BraninHSCC65
2002-01-03
Toward a table-top quantum computer.
823-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part3/maguire.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#MaguireBG00
2002-01-03
Storing and Using Objects in a Relational Database.
172-191
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#ReinwaldLPG96
2002-01-03
A Graphic Interactive Application Monitor.
382-402
1980
19
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#BleherCHM80
2002-01-03
A Computer Graphics System for Block Diagram Problems.
143-161
1971
10
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#BeladyBET71
2002-01-15
An Application of Network Management at a Large Computing Service.
143-164
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Garrigues83
2002-01-03
VM Data Spaces and ESA/XC Facilities.
14-33
1991
30
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#GdaniecH91
2002-01-03
Java and the IBM San Francisco Project.
365-371
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#RubinCB98
2002-01-03
Maximizing Leverage from an Object Database.
280-299
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#Alfred94
2002-01-03
SNA Flow Control: Architecture and Implementation.
179-210
1982
21
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#GeorgeY82
2002-01-03
Managing Business Processes an an Information Resource.
326-348
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#LeymannA94
2002-01-03
Workflow-Based Applications.
102-123
1997
36
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#LeymannR97
2002-01-03
Reliability, Availability, and Serviceability Design Considerations for the Supermarket and Retail Store Systems.
81-95
1975
14
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#HippertPPS75
2002-01-03
An Operating System for Distributed Processing - DPPX.
507-525
1979
18
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Kiely79
2002-01-03
Design Considerations for Distributed Applications.
564-589
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Rofrani92
2002-01-03
IBM WebSphere Commerce Suite Product Advisor.
91-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/rofrano.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Rofrano01
2002-01-03
Storage and Access in Relational Data Bases.
362-377
1977
16
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#BlasgenE77
db/journals/ibmsj/BlasgenE77.html
ibmsj/16/P362.pdf
2002-01-03
Business-to-business integration with tpaML and a business-to-business protocol framework.
68-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/dan.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#DanDKLNPSS01
2002-09-06
Transparent access to multiple bioinformatics information sources.
532-551
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/goble.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#GobleSNBPBPB01
2002-01-03
Experimental Page Makeup of Text with Graphics on a Raster Printer.
345-355
1980
19
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Shepherd80
2002-01-03
IBM 3090 Performance: A Balanced System Approach.
20-35
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#SinghKA86
2002-01-03
System Overview of the Application System/400.
360-375
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#SchleicherT89
2002-01-03
System Overview of the Application System/400.
398-413
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#SchleicherT99
http://www.research.ibm.com/journal/sj/382/schleicher.pdf
2002-01-03
Fabrication and Assembly Operations Part VI: Parameter Values for Sequencing Control.
241-249
1965
4
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Gorenstein65
2002-01-03
Programming for Economic Lot-Sizes with Precedences Between Items.
232-243
1971
10
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Gorenstein71
2002-01-03
Ease of Use: A System Design Challenge.
224-235
1984
23
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#BranscombT84
2002-09-06
Message from the Corporate Director, IBM Software Test.
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/execletter.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#Woodworth02
2002-01-03
Concurrent Computing by Sequential Staging of Tasks.
646-660
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#GazdagW89
2002-01-03
Technical note - A three-dimensional framework for information technology solutions.
336-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/ricke.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Ricke00
2002-01-03
Data Dictionary/Directories.
332-350
1973
12
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Uhrowczik73
2002-01-03
Justifying imagery: Multimedia support for learning through explanation.
749-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/blankinship.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#SmithB00
2002-09-06
Practical server privacy with secure coprocessors.
683-695
2001
40
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/403/smith.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#SmithS01
2002-01-03
An Application-Oriented Multiprocessing System Part VI: Programs for the Intended Application.
124-132
1967
6
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#Seward67
2002-01-03
Physically interactive story environments.
438-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/pinhanez.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#PinhanezDIJWBB00
2002-09-06
A framework-based approach to building private trading exchanges.
253-271
2002
41
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/412/kumaran.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#KumaranHC02
2002-01-03
Neutron Cross-Section Evaluation.
355-364
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Creasy68
2002-01-03
An Application-Oriented Multiprocessing System Part II: Design Characteristics of the 9020 System.
80-94
1967
6
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#BlakeneyCE67
2002-01-03
Reflections on VM/Pass-Trough: A Facility for Interactive Networking.
63-79
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#MendelsohnLA83
2002-01-03
Real-Time Complexity Metrics for Smalltalk Methods.
204-226
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Burbeck96
2002-01-03
Implementing the Defect Prevention Process in the MVS Interactive Programming Organization.
33-43
1990
29
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#GaleTB90
2002-01-03
A Structure for Real-Time Stenotype Transcription.
24-35
1970
9
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj9.html#NewittO70
2002-01-03
RE-Analyzer: From Source Code to Structured Analysis.
110-130
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#OHareT94
2002-01-03
System-Independent File Management and Distribution Services.
241-259
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#AshfieldC89
2002-01-03
Predicting the Performance of Distributed Virtual Shared-Memory Applications.
527-549
1997
36
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#ParsonsBS97
2002-01-03
The Power Profile - An Installation Management Tool.
264-271
1975
14
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Laird75
2002-01-03
Computer Sculpture.
682-688
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#LathamT89
2002-01-03
Optimizing Array Reference Checking in Java Programs.
409-453
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#MidkiffMS98
2002-01-03
An APL System for the IBM Personal Computer.
61-70
1985
24
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#TaveraAR85
2002-01-03
Planning-Data Systems.
145-160
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Lande73
2002-01-03
Interactive Graphics Today.
292-313
1980
19
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Burchi80
2002-09-06
A method for designing secure solutions.
747-768
2001
40
IBM Systems Journal
3
http://researchweb.watson.ibm.com/journal/sj/403/whitmore.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Whitmore01
2002-01-03
Architecture and Applications of the Hy+ Visualization System.
458-476
1994
33
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#ConsensEHMNRV94
2002-01-03
An Automatic Dictionary and the Verification of Machine-Readable Text.
192-207
1967
6
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#GalliY67
2002-01-03
Architecture of the San Francisco Frameworks.
156-169
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#Bohrer98
2002-01-03
The Development of Software Systems to Aid in Physical Planning.
359-382
1978
17
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#Smedley78
2002-01-03
Synchronous Data Link Control: A Perspective.
140-162
1974
13
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj13.html#DonnanK74
2002-01-03
SNA Management Services Architecture for APPN Networks.
336-352
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#AllenB92
2002-01-03
Multicast Network Connection Architecture.
590-603
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#BudhirajaGGHNS95
2002-01-03
A Public Key Extension to the Common Cryptographic Architecture.
461-485
1993
32
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#LeMJW93
2002-10-16
The CORDS Multidatabase Project.
39-62
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#AttaluriBCLMSSZ95
2002-01-03
Interconnecting SNA Networks.
344-366
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#BenjaminHWW83
2002-01-03
Supporting Business Systems Planning Studies with the DB/DC Data Dictionary.
54-80
1982
21
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj21.html#SakamotoB82
2002-01-03
Implementing System/36 Advanced Peer-to-Peer Networking.
429-452
1987
26
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#SultanKGBB87
2002-01-03
Parallelism in Relational Database Management Systems.
349-371
1994
33
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#MohanPTW94
2002-01-03
ESA/390 Interpretive-Execution Architecture, Foundation for VM/ESA.
34-51
1991
30
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#OsisekJG91
2002-01-03
IBM VisualAge for Java.
386-408
1998
37
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#ChamberlandLR98
2002-01-03
User Interface Seravices in AD/Cycle.
236-249
1990
29
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#ArtimHS90
2002-01-03
Turning Points in Systems Architecture.
335-339
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#GanekS99
http://www.research.ibm.com/journal/sj/382/ganek.pdf
2002-01-03
Project Athena: Supporting Distributed Computing at MIT.
550-563
1992
31
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#ArfmanR92
2002-01-03
Algorithm for a Gear-Train Problem.
95-103
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#ApSimon64
2002-01-03
High-Performance Parallel Implementations of the NAS Kernel Benchmarks on the IBM SP2.
263-272
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#AgarwalACGKLZ95
2002-01-03
COBOL/2: The Next Generation in Applications Programming.
158-169
1988
27
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#Sales88
2002-01-03
Java server performance: A case study of building efficient, scalable Jvms.
151-
2000
39
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/391/dimpsey.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#DimpseyAK00
2002-01-03
Managing Changes in SNA Networks.
260-273
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#BallardFH89
2002-01-03
Strategic Control in the Extended Enterprise.
111-142
1993
32
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#Konsynski93
2002-01-03
AS/400 Software Quality Management.
62-88
1994
33
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#KanDALH94
2005-10-17
Accessing Existing Business Data from the World Wide Web.
115-132
1998
37
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#PengCCCS98
2002-01-03
Time Frames: Temporal augmentation of the news.
597-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/koen.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#KoenB00
2002-01-03
An Input-Output Econometric Model.
398-420
1977
16
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#Sarma77
2002-01-03
A High-Performance Transport Network Platform.
705-724
1995
34
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#LebizayGCB95
2002-01-03
Estimating the Fault Rate Function.
300-312
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#Jennings92
2002-01-03
Designing Molecules and Crystals by Computer.
613-627
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Koide89
2002-01-03
From Dynamic Supertypes to Subjects: A Natural Way to Specify and Develop Systems.
244-256
1996
35
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#HarrisonKOS96
2002-01-03
Numerical Control for Machining Complex Surfaces.
150-168
1972
11
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#Almond72
2002-01-03
A Large Scale Interactive Administrative System.
260-282
1971
10
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#Wimbrow71
2002-01-03
SNA: Current Requirements and Direction.
13-36
1987
26
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#SundstromSSHDCA87
2002-01-03
An Economic Lot-Sizing Technique II: Mathematical Analysis of the Part-Period Algorithm.
39-46
1968
7
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#Mendoza68
2002-01-03
Channel and Direct Access Device Architecture.
186-199
1972
11
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#BrownET72
2002-01-03
Some Principles of Time-Sharing Scheduler Strategies.
94-117
1969
8
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Hellerman69
2002-01-03
REXX on TSO/E.
274-293
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Hoernes89
2002-01-03
The Origins of Ubiquitous Computing Research at PARC in the Late 1980s.
693-696
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#WeiserGB99
2002-01-03
The Structure of SYSTEM/360 Part III: Processing Unit Design Considerations.
144-164
1964
3
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#Amdahl64
2002-01-03
The Centre for Advanced Studies: A Model for Applied Research and Development.
382-398
1994
33
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#SlonimBLSBBS94
2002-01-03
Adaptive Algorithms for Managing a Distributed Data Processing Workload.
242-283
1997
36
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#AmanEEYD97
2002-01-03
The Structure of SYSTEM/360 Part I: Outline of the Logical Structure.
119-135
1964
3
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#BlaauwB64
2002-09-06
Message from the Vice President, Life Sciences Solutions
http://researchweb.watson.ibm.com/journal/sj/402/message.html
2001
40
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Kovac01
2002-01-03
The Role of IBM's Open Blueprint Approach in Distributed Computing.
138-141
1995
34
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#Colosimo95
2002-01-03
Enabling Technology for Distributed Multimedia Applications.
489-507
1997
36
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#WongLEVBDGNOBHHIKLMS97
2002-01-03
Storage Requirements for a Data Exchange.
2-13
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#DelgalvisD64
2002-01-03
AIX NetView/6000.
270-285
1992
31
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#ChouBHHN92
2002-09-06
Linking e-business and operating processes: The role of knowledge management.
889-907
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/fahey.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#FaheySSS01
2002-01-03
A Character Computer for High-Level Language Interpretation.
68-78
1964
3
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj3.html#Meggitt64
2002-01-03
The Trim Problem.
77-81
1962
1
IBM Systems Journal
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj1.html#Gomory62
2002-01-03
A Knowledge-Based System for MVS Dump Analysis.
336-350
1991
30
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#LenzS91
2002-01-03
The Power and Promise of Parallel Computing.
146-151
1995
34
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#Wladawsky-Berger95
2002-01-03
Turning Points in Information Technology.
449-452
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Wladawsky-Berger99
http://www.research.ibm.com/journal/sj/382/wladawsky.pdf
2002-09-06
A metric for predicting the performance of an application under a growing workload.
45-54
2002
41
IBM Systems Journal
1
http://researchweb.watson.ibm.com/journal/sj/411/weyuker.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj41.html#WeyukerA02
2002-01-03
State Sampling of Interactive VM/370 Users.
164-180
1979
18
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj18.html#Tetzlaff79
2002-01-03
Interactive User Productivity.
407-423
1981
20
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#Thadhani81
2002-01-03
Factors Affecting Programmer Productivity During Application Development.
19-35
1984
23
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#Thadhani84
2002-01-03
Communcations Network Management Enhancements for SNA Networks: An Overview.
129-142
1983
22
IBM Systems Journal
1/2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#Sullivan83
2002-01-03
Making Sharing Pervasive: Ubiquitous Computing for Shared Note Taking.
531-550
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#LandayD99
2002-01-03
A Computer Program for the Statistical Analysis of Series of Events.
202-225
1966
5
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj5.html#Lewis66
2002-01-03
Service Levels: A Concept for the User and the Computer Center.
328-357
1976
15
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Lewis76
2002-01-03
Analysis of Free-Storage Algorithms - Revisited.
44-64
1984
23
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#BozmanBDT84
2002-01-03
SNA Network Management Directions.
3-14
1988
27
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#RoseM88
2002-01-03
Design of an Integrated Programming an OPerating System Part IV: The System's FORTRAN Compiler.
311-321
1963
2
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Larner63
2002-01-03
Intermediary-based transcoding framework.
179-
2001
40
IBM Systems Journal
1
http://www.research.ibm.com/journal/sj/401/ihde.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#IhdeMMB01
2002-01-03
An Application-Oriented Multiprocessing System Part IV: The Operational Error Analysis Program.
103-115
1967
6
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj6.html#LanctoR67
2002-01-03
On the Location of Supply Points to Minimize Transportation Costs.
129-135
1963
2
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Maranzana63
2002-01-03
Application System/400 Performance Characteristics.
407-423
1989
28
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#ClarkC89
2002-01-03
Transaction Security System.
206-229
1991
30
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#AbrahamDDS91
2002-01-03
Vector System Performance of the IBM 3090.
63-82
1986
25
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj25.html#ClarkW86
2002-01-03
Accounting Control of Data Processing.
74-92
1972
11
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#RettusS72
2002-01-03
Effects of Manual Style on Performance in Education and Machine Maintenance.
172-183
1981
20
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#JudischRD81
2002-01-03
Functional Structure of IBM Virtual Storage Operating Systems. Part I: Influences of Dynamic Address Translation on Operating System Technology.
368-381
1973
12
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#AuslanderJ73
2002-01-03
Functional Structure of IBM Virtual Storage Operating Systems, Part I: Influences of Dynamic Address Translation on Operating System Technology.
340-353
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#AuslanderJ99
http://www.research.ibm.com/journal/sj/382/auslander.pdf
2002-01-03
Data Structures and Data Accessing in Data Base Systems Past, Present, Future.
208-257
1977
16
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#Senko77
2002-01-03
Using System Monitor Output to Improve Performance.
290-298
1969
8
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj8.html#Bonner69
2002-01-03
Inertial Proprioceptive Devices: Self-Motion-Sensing Toys and Tools.
639-650
1996
35
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#Verplaetse96
2002-09-06
Where did knowledge management come from?.
1002-1007
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/prusak.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Prusak01
2002-09-06
Computational protein folding: From lattice to all-atom.
297-309
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/duan.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#DuanK01
2002-01-03
The use of IBM SanFrancisco core business processes in human resources scheduling.
285-
2000
39
IBM Systems Journal
2
http://www.research.ibm.com/journal/sj/392/jaufmann.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#JaufmannL00
2002-01-03
An APL Emulator on System/370.
358-378
1976
15
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#HassittL76
2002-09-06
Mapping, modeling, and visual exploration of structure-function relationships in the heart.
342-359
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/winslow.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#WinslowSGYBBGR01
2002-09-06
Views of knowledge are human views.
885-888
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/dueck.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#Dueck01
2002-01-03
Advanced Information Management (AIM): Advanced Database Technology for Integrated Applications.
661-681
1989
28
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#DadamL89
2002-01-03
Emergent Design and learning environments: Building on indigenous knowledge.
768-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part2/cavallo.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#Cavallo00
2002-01-03
An Analysis of Floating-Point Addition.
31-42
1965
4
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#Sweeney65
2002-09-06
The Lotus Knowledge Discovery System: Tools and experiences.
956-966
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/pohs.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#PohsPDW01
2002-01-03
A Perspective on Advanced Peer-to-Peer Networking.
414-428
1987
26
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj26.html#GreenCFFW87
2002-01-03
An Experimental Program for Linkage Analysis.
200-223
1965
4
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#BitontiCFH65
2002-01-03
A Program Understanding Support Environment.
324-344
1989
28
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj28.html#Cleveland89
2002-01-03
A Professional Graphics Controller.
14-25
1985
24
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#DukeW85
2002-01-03
ATM: Paving the Information Superhighway.
375-389
1995
34
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj34.html#SultanB95
2002-01-03
Large-Scale Image Systems: USAA Case Study.
343-355
1990
29
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj29.html#PlesumsB90
2002-01-03
IBM Database 2 Overview.
112-125
1984
23
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj23.html#HaderleJ84
2002-01-03
PAM-CRASH on the IBM 3090/VF: An Integrated Environment for Crash Analysis.
541-560
1988
27
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj27.html#AngeleriLPC88
2002-01-03
Cost-Benefit Evaluation of Scientific Computing Services.
219-233
1972
11
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj11.html#Streeter72
2002-01-03
Centralization or Dispersion of Computing Facilities.
283-301
1973
12
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Streeter73
2002-01-03
Productivity of Computer-Dependent Workers.
292-305
1975
14
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#Streeter75
2002-01-03
Familiy Traits in Business Objects and Their Applications.
12-31
1997
36
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj36.html#PrinsBO97
2002-01-03
A General Purpose Systems Simulator.
18-32
1962
1
IBM Systems Journal
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj1.html#Gordon62
2002-01-03
Financial Modeling on Small Systems.
161-171
1973
12
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj12.html#Gordon73
2002-01-03
The Modular Application Customizing System.
521-541
1980
19
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#Gordon80
2002-09-06
Knowledge resource exchange in strategic alliances.
908-924
2001
40
IBM Systems Journal
4
http://researchweb.watson.ibm.com/journal/sj/404/parise.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#PariseH01
2002-01-03
Enterprise Solutions Structure.
4-11
1999
38
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#PlachyH99
2002-01-03
System for the Recognition of Human Faces.
307-320
1993
32
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#KamelSWC93
2002-01-03
Introduction to the IBM Optimization Subroutine Library.
4-10
1992
31
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj31.html#WilsonR92
2002-01-03
Coordination and Collective Mind in Software Requirements Development.
227-246
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#CrowstonK98
2002-01-03
An Object-Oriented System for 3D Medical Image Analysis.
4-24
1996
35
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj35.html#ElliottDGRS96
2002-01-03
A Conversational Display Capability.
281-291
1968
7
IBM Systems Journal
3/4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj7.html#GaglianoTC68
2002-01-03
Management of Reuse at IBM.
612-615
1993
32
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj32.html#TirsoG93
2002-01-03
FORTRAN Extended-Precision Library.
39-61
1971
10
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj10.html#KukiA71
2002-01-03
Recovery for Computer Switchover in a Real-Time System.
76-83
1963
2
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Nagler63
2002-01-03
Multistream Input: An Experimental Study of Document Scrolling Methods.
642-651
1999
38
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#ZhaiS99
2002-01-03
Everywhere messaging.
660-
2000
39
IBM Systems Journal
3&4
http://www.research.ibm.com/journal/sj/393/part1/schmandt.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj39.html#SchmandtMMSW00
2002-01-03
Design and Code Inspections to Reduce Errors in Program Development.
258-287
1999
38
IBM Systems Journal
2/3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj38.html#Fagan99
http://www.research.ibm.com/journal/sj/382/fagan.pdf
2002-01-03
Design and Code Inspections to Reduce Errors in Program Development.
182-211
1976
15
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj15.html#Fagen76
2002-01-03
IBM's Santa Teresa Laboratory - Architectural Design for Program Development.
4-25
1978
17
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj17.html#McCue78
2002-09-06
Convergent evolution of protein structure prediction and computer chess tournaments: CASP, Kasparov, and CAFASP.
410-425
2001
40
IBM Systems Journal
2
http://researchweb.watson.ibm.com/journal/sj/402/siew.html
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj40.html#SiewF01
2002-01-03
Parallel Expression in the APL2 Language.
498-512
1991
30
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj30.html#Willhoft91
2002-01-03
Logical Problem Determination for SNA Networks.
387-403
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#WeingartenI83
2002-01-03
On Dynamic Program Relocation.
184-199
1965
4
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj4.html#McGee65
2002-01-03
The Information Management System IMS/VS Part I: General Structure and Operation.
84-95
1977
16
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj16.html#McGee77
2002-01-03
An APL Approach to Presentation Graphics.
367-381
1980
19
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj19.html#NiehoffJ80
2002-01-03
Sequential Data Processing Design.
37-48
1963
2
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj2.html#Turnburke63
2002-01-03
Advanced Program-to-Program Communication in SNA.
298-318
1983
22
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj22.html#GrayHHLP83
2002-01-03
Profile-Directed Restructuring of Operating System Code.
270-297
1998
37
IBM Systems Journal
2
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj37.html#SchmidtRMMSB98
2002-01-03
Standardized Graphics on the IBM Personal Computer.
3-13
1985
24
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj24.html#Clarkson85
2002-01-03
A Perspective on Software Science.
372-387
1981
20
IBM Systems Journal
4
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj20.html#ChristensenFS81
2002-01-03
Overview of the Supermarket System and the Retail Store System.
3-15
1975
14
IBM Systems Journal
1
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj14.html#McEnroeHMM75
2002-01-03
Reference Architecture for Distributed Systems Management.
426-444
1994
33
IBM Systems Journal
3
http://www.informatik.uni-trier.de/~ley/db/journals/ibmsj/ibmsj33.html#BauerFHRTW94