2002-01-03
Die Entwicklung aktiver Datenbanken am Beispiel der Krebsforschung.
74-93
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#AppelrathBJO93
2002-01-03
Web-Enabling Geographic Data with Object-Relational Databases.
127-143
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#KleinerL01
2005-06-28
OraGiST - How to Make User-Defined Indexing Become Usable and Useful.
324-333
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/74.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#KleinerL03
2002-01-03
Neuartige Anforderungen an die Datenverwaltung am Beispiel der Kreditsachbearbeitung in einem Kreditinstitut.
306-310
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#GrossmannW87
2002-01-03
SEED - A Database System for Software Engineering Environments.
121-126
1985
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw85.html#GlinzHL85
2003-02-11
Anfragesprachen für Netzwerk-Datenbanken: Übersicht und Vergleich.
199-218
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#RieskampS83
2002-01-03
Systempufferverwaltung in Multimedia-Datenbanksystemen.
179-193
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#MarderM95
2002-01-03
Real World XML/XSLT im Web: Ein Framework zur Verteilung der Rollen zwischen Redaktion, Datenbanken und modularer Funktionalität.
497-498
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#Hinrichs01a
2002-01-03
Basismechanismen für komplexe Konsistenzprobleme in Entwurfsdatenbanken.
73-90
1985
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw85.html#DittrichKM85a
2002-01-03
Integrating Multimedia into the Distributed Office Applications Environment.
181-188
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#RuckertP93
2002-01-03
Funktional rekursive Anfragen auf der Basis von geschachtelten Tabellen.
408-427
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#Linnemann89
2002-01-03
Grammatiken und Syntaxbäume in Datenbanken.
403-412
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#Linnemann93
2002-01-03
Probabilistic Reasoning for Large Scale Databases.
118-132
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#RollekeF97
2002-01-03
Ein wissensbasierter Ansatz zur Dokumentenverwaltung in einem Büro-Informationssystem.
323-327
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#SchmidtB89a
2002-01-03
Anwendungsorientiertes Archivieren in Datenbanksystemen - vertieft am Beispiel von EXPRESS und SDAI.
194-211
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#Herbst95
2002-01-03
Ein Speichersystem für abstrakte Objekte.
338-347
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#RellyB95
2002-01-03
Zu zentralen Design-Entscheidungen beim Entwurf der Datenbank POINTE/PVS.
465-469
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Greiter87
2005-06-28
Konzeptbasierte Anfrageverarbeitung in Mediatorsystemen.
78-97
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/63.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#SattlerGHS03
2002-01-03
Ein Prozedurorientiertes Datenmodell für CAD-Anwendungen und seine Realisierung mittels konventionaller Datenbanksoftware und Ada.
127-146
1985
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw85.html#LukeB85
2002-01-03
Applikations-Architektur: Ein Ansatz zur Integration von Informations-Systemen.
362-378
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#Thoma91
2002-01-03
Die Architekturkonzeption eines DBMS aus pragmatischer Sicht.
1-15
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#Bittner91
2002-01-03
Anforderungen an ein arbeitsplatzorientiertes Datenhaltungssystem.
391-404
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#ReuterHPZWSFR87
2002-01-03
GOM: A Strongly Typed Persistent Object Model With Polymorphism.
198-217
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#KemperMWZ91
2002-01-03
PANDA: An Extensible DBMS Supporting Object-Oriented Software Techniques.
74-79
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#EgenhoferF89
2002-01-03
Wissensbasierte Werkzeuge zur Leistungsoptimierung objektorientierter Datenbanksysteme.
368-372
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#Abramowicz89
2002-01-03
Bewertung von Objektmanagementsystemen für Software-Entwicklungsumgebungen.
404-411
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#DewalHSKPR91
2002-01-03
Analytische Bestimmung einer Datenallokation für Parallele Data Warehouses.
167-186
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#Stohr01
2002-01-03
Attributierte Grammatiken als Werkzeug der Datenmodellierung.
160-178
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#StutschkaL95
2002-01-03
Inkrementelle Sicherungsverfahren für Datenbanken: Vorgehensweisen, Klassifikation, Implementierung und Bewertung.
115-136
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#Storl99
2002-01-03
Database Primitives for Spatial Data Mining.
137-150
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#EsterGKS99
2002-01-03
Eine Anfragesprache für ein strukturell-objektorientiertes Datenmodell.
373-388
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#SchieferR89
2002-01-03
Simplifying Complex Objects: The PROBE Approach to Modelling and Querying Them.
17-37
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#DayalMBCGHOR87
2002-01-03
Formbasierte Suche nach komplementären 3D-Oberflächen in einer Protein-Datenbank.
373-382
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#EsterKSX95
2002-01-03
Principles of Object-Oriented Query Languages.
178-197
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#HeuerS91
2002-01-03
Integrationskonzepte für heterogene Anwendungssysteme bei DaimlerChrysler auf Basis internationaler Standards.
317-327
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#SarstedtSSM99
2002-01-03
Modellierung von dokumentenbasierten Geschäftsprozessen mit XML-Netzen.
19-20
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/06_Marco_von_Mevius.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Mevius01
2002-01-03
Multimedia Database Systems - The Notion and the Issues.
1-29
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#RakowNL95
2002-01-03
THERSYST eine Faktendatenbank für Thermophysikalische Eigenschaften.
415-416
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#LofflerR87
2002-01-03
Eine Evaluierung der Performanz objektorientierter Datenbanksysteme für eine konkrete Anwendung.
221-240
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#HohensteinPH97
2002-01-03
Flexible Entwurfsdatenverwaltung für CAD-Frameworks: Konzepte, Realisierung und Bewertung.
144-163
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#KaferM93
2002-01-03
Parallel Temporal Joins.
269-278
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#Zurek97
2003-02-11
Datenmodelle, Schemadefinitionen und Datenmanipulation in Datenbank-Pascal.
183-198
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#KarsztS83
2002-01-03
CADBASE - ein Datenhaltungssystem für Objekt- und Versions-Management in rechnerunterstützten Engineering-Anwendungen.
58-62
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#LoersSW89
2002-01-03
Leistungsuntersuchung von ORDB-gestützten objektorientierten Anwendungssystemen.
227-243
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#ZhangR01
2002-01-03
Bildung von Konsistenzklassen über Objekten in einer Datenbasis für CAD-Elektronik.
278-282
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#LoerseS87
2005-06-28
Executing Nested Queries.
58-77
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/75.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#Graefe03
2002-01-03
Design eines transportablen natürlichsparchlichen Datenbankzugangssystems.
469-476
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#NoackHIO91
2002-01-03
The Implementation of the Cell Tree: Design Alternatives and Performance Evaluation.
246-265
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#GuntherB89
2002-01-03
Distributed Transactions without Master/Slave Hierarchy.
470-474
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#GuntherG87
2005-06-28
Integration von ETL und OLAP in die relationale DWH-Technologie: mehr Lösung für weniger Aufwand?
568-577
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#Bastien03
2005-06-28
Konstruktion von Featureräumen und Metaverfahren zur Klassifikation von Webdokumenten.
197-206
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/57.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#SiersdorferS03
2005-06-28
Transbase: a Leading-edge ROLAP Engine Supporting Multidimensional Indexing and Hierarchy Clustering.
648-667
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP9.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#PieringerERMFB03
2002-01-03
The SIRIUS Approach for Refreshing Data Warehouses Incrementally.
80-96
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#VavourasGD99
2002-01-03
Trading Workflows Electronically: The ANAISOFT Architecture.
67-74
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#SchonhoffS01
2005-06-28
Event-Driven-Process-Chain-Markup-Language (EPML): Anforderungen, Konzeption und Anwendung eines XML-Schemas für Ereignisgesteuerte Prozessketten (EPK).
48-50
2003
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003s.html#Mendling03
2003-02-11
Der Begriffskalkül - eine Konstruktionssprache für die Spezifikation von Datenbankanwendungen auf der Ebene der Benutzer.
169-182
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#Ortner83
2003-02-11
Erweiterung einer Datendank-Anfragesprache zur Unterstützung des Versionenkonzepts.
96-112
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#Kinzinger83
2002-01-03
Die Deutsche Fußball-Börse: ERfahrungen mit einer ``klassischen'' Internet-Datenbankanwendung.
59-68
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#ClaussenK99
2002-01-03
Anwendungsnahe Pufferung komplexstrukturierter Objekte - ein Erfahrungsbericht.
355-360
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#HubelP89
2002-01-03
Relationale Datenbasis als Kern für ein integriertes interaktives Informationssystem.
417-425
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Mistrik87
2002-01-03
Query preprocessing for integrated search in heterogeneous data sources.
154-163
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#DomenigD01
2005-06-28
T-XPath: ein zeitliches Modell für XML-Datenbanken.
157-166
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/108.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#KalbSS03
2002-01-03
Repositories and Object Oriented Databases.
34-46
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#Bernstein97
2002-01-03
Ein objektorientierter Ansatz zur Restrukturierung der betrieblichen Informationsverarbeitung.
348-357
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#SauterT95
2002-01-03
PROSPECT: Ein System zur effizienten Bearbeitung komplexer Transaktionen durch Parallelverarbeitung.
475-480
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Reuter87
2005-06-28
Rechnergestützte Suche nach Korrelationen in komplexen Datensätzen der Biowissenschaften.
463-481
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/99.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#HeymannTRF03
2002-01-03
Datenqualitätsmanagement in Data Warehouse-Umgebungen.
187-206
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#Hinrichs01
2002-01-03
Intelligente Datenbereinigung in epidemiologischen Registern.
291-306
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#Hinrichs99
2002-01-03
Architektur von Datenbanksystemen für Non-Standard-Anwendungen.
253-286
1985
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw85.html#HarderR85
2002-01-03
Das Archiv im TA M32 Büroimformationssystem.
68-83
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Kleist-Retzow87
2002-01-03
Database Application Programming with Versioned Complex Objects.
172-191
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#NinkR97
2005-06-28
The IOP Approach to Enterprise Frameworks.
524-543
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#NinkS03
2002-01-03
Handling Integrity in a KBMS Architecture for Workstation/Server Environments.
89-108
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#Dessloch91
2002-01-03
Ein relationales Datenbanksystem als zentrale Komponente eines Programmsystems zur Unterstützung geisteswissenschaftlicher Texterschließungsverfahren.
316-320
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#BiedassekHS87
2002-01-03
Eine Ereignissprache für das aktive, objektorientierte Datenbanksystem SAMOS.
94-103
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#GatziuD93
2002-01-03
Integration des Versionsbegriffs und des Objektbegriffs durch Abstraktion.
299-305
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#BerkelKSW87
2002-01-03
Heterogeneous Data Replication.
5-6
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/02_Peter_Haase.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Haase01
2002-01-03
Unterstützung ähnlichkeitsbasierter Suche in der ORDB-gestützten SFB-501-Erfahrugsdatenbank.
48-49
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/15_Michale_Haustein.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Haustein01
2005-06-28
Logische Datenmodellierung zur Abbildung mehrdimensionaler Datenstrukturen im SAP Business Information Warehouse.
630-647
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP8.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#Hahne03
2002-01-03
LILOG-DB: Database Support for Knowledge-Based Systems.
176-195
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#LudwigWLMG89
2005-06-28
Automatic Database Configuration for DB2 Universal Database: Compressing Years of Performance Expertise into Seconds of Execution.
620-629
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP7.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#KwanLSSW03
2002-01-03
Automatische Übersetzung von Geschäftsprozessmodellen in ausführbare Workflows.
505-513
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#ChristensenKSW01
2005-06-28
Efficient Assembly of Product Structures in Worldwide Distributed Client/Server Environments.
364-383
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/41.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#MullerDF03
2002-01-03
Abhängigkeiten zwischen Transaktionen in föderierten Datenbanksystemen.
271-290
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#TurkerS99
2005-06-28
Multidimensional Mapping and Indexing of XML.
305-323
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/76.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#BauerRB03
2002-01-03
Adaptives und verteiltes Workflow-Management.
47-66
2001
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001.html#BauerRD01
2002-01-03
Einsatzmöglichkeiten von Triggermechanismen und deren Performanz in einem Wertpapier-Archivierungssystem.
342-351
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#BruchertZB97
2002-01-03
Adding Inferencing to a Relational Database Management System.
266-270
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#MartiWW89
2002-01-03
Informationfusion - Herausforderung an die Datenbanktechnologie.
307-316
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#ConradSS99
2002-01-03
Behandlung von Integritätsbedingungen bei Schemarestrukturierung und Schemaintegration.
352-369
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#ConradST97
2002-01-03
Beyond Object Databases.
1-17
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#McLeod93
2002-01-03
Reorganizing Object Behavior by Composition - Coping with Evolving Requirements in Office Systems.
446-453
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#Kappel91
2002-01-03
Bausteine zu einer wissensbasierten Beschreibungssprache für intelligente Büroinformations- und Kommunikationssysteme.
448-454
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Glasen87
2005-06-28
COMBI-GROUP(): Ein relationaler Operator zur Unterstützung vonh Data Mining Anwendungen.
26-28
2003
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003s.html#Habich03
2005-06-28
Manipulation von XML-Dokumenten in Tamino.
591-610
2003
BTW
http://doesen0.informatik.uni-leipzig.de/proceedings/paper/IP1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003.html#Gesmann03
2002-01-03
Strategien zum dynamischen Aufbau komplexer Objekte in der Anfrageverarbeitung.
282-301
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#Gesmann95
2002-01-03
Architektur des parallelen Datenbanksystems MIDAS.
252-261
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#ListlPRBL95
2002-01-03
Autorisierung und Zugriffsüberwachung in strukturell objekt-orientierten Datenbanksystemen.
119-134
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#Pfefferle89
2002-01-03
Technische Informationssysteme zur Unterstützung von Entwurfsprozessen.
396-403
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#BerkelHJRSW91
2005-02-03
Ein objekt-orientiertes Programmiersystem mit integrierter Datenverwaltungs-Komponente.
132-151
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#SchlageterUWZMNM87
2002-01-03
DB++ - persistent objects for C++.
177-281
1989
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw89.html#SchmidtB89
2002-01-03
Die BTW im Wandel der Datenbank-Zeiten.
48-50
1995
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw95.html#Blaser95
2002-01-03
Einsatz des Zwei-Phasen-Commit-Protokolls bei förderierten Datenbank-Systemen.
3-4
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/01_Christian_Becker.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Becker01
2002-01-03
Erweiterung einer Datenbanksprache zur Erzeugung benutzerfreundlicher Bedieneroberflächen für eine medizinische Bilddatenbank.
492-496
1985
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw85.html#AssmannVH85
2002-01-03
Föderierung heterogener Datenbanksysteme DBS und lokaler Datenhaltungskomponenten zur systemübergreifenden Integritätssicherung.
322-331
1997
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw97.html#SaakeCCHST97
2002-01-03
An Expert Database System For The Overland Search Problem.
259-272
1987
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw87.html#Gunther87
2005-06-28
Metadatenbasierte Konzepte für die Migration und Integration von Daten.
23-25
2003
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003s.html#Laborda03
2002-01-03
Adding Active Functionality to an Object-Oriented Database System - a Layered Approach.
54-73
1993
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw93.html#Dittrich93
2002-01-03
Replikation in mobilen Datenbanken.
7-9
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/03_Hagen_Hoepfner.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Hopfner01
2002-01-03
Rule-Based Dynamic Modification of Workflows in a Medical Domain.
429-448
1999
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw99.html#MullerR99
2003-02-11
Eine Sprachschnittstelle zur Versionenkontrolle in CAM-Datenbanken.
76-95
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#MullerS83
2002-01-03
Entwicklung eines ISO 9000: 2000-konformen Steuerungsmoduls zum Datenqualitätsmanagement in Data Warehouse-Systemen.
53-55
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/16_Thomas_Aden.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Aden01
2003-02-11
<sup>2</sup>-Relationenalgebra zur einheitlichen Manipulation externer, konzeptueller und interner Datenstrukturen.
113-133
1983
Sprachen für Datenbanken
http://www.informatik.uni-trier.de/~ley/db/conf/btw/sdb83.html#SchekS83
2002-01-03
Erweiterbarkeit, Kooperation, Föderation von Datenbanksystemen.
38-71
1991
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw91.html#SchekW91
2006-09-24
Architektur und Prototyp eines Replication Proxy Server für die Nutzerdefinierte Replikation von Datenbankinhalten.
29-31
2003
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2003s.html#Muller03
2002-01-03
The Rational Unified Process.
43-44
2001
BTW Studierenden-Programm
http://www.btw2001.de/studiprog/beitraege/13_Ansgar_Scherp.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2001s.html#Scherp01
2006-01-17
Beitragsband zum Studierenden-Programm bei der 11. Fachtagung "Datenbanken für Business, Technologie and Web", GI Fachbereich Datenbanken und Informationssysteme, Karlsruhe, 1. März 2005
BTW Studierendenprogramm
2005
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html
2005-03-03
Die Dewey Dezimalklassifikation in XML-Datenbanksystemen.
8-10
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#000205
2005-03-03
Konzeption eines Langzeitarchivsystems auf Basis von XML und Oracle zur formatunabhängigen Verwaltung von Dokumenten.
26-28
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Langguth05
2005-03-03
Subspace Sequence Clustering - Dataming zur Entscheidungsunterstützung in der Hydrologie.
15-17
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Glavic05
2005-03-03
Verarbeitung von Graphen in relationalen Datenbankmanagementsystemen.
18-20
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Witzel05
2005-03-03
Dynamische Datenintegration in Grid-Umgebungen.
40-42
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#000205a
2005-03-03
Pathfinder/MonetDB: A High Performance Relational Runtime for XQuery.
5-7
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Rittinger05
2005-03-03
Inkrementelle Anfrageverarbeitung in schemabasierten P2P-Systemen.
37-39
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Hose05
2005-03-03
Entwicklung von Konzepten zur flexiblen Integration der Datenqualitätssicherung in analytischen Anwendungen.
13-14
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Korbs05
2005-03-03
XSE - XML-Schema-Extractor.
3-4
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Stephan05
2005-03-03
System J - Konzeption und prototypische Umsetzung eines Praktikums zur Datenbanksystementwicklung.
29-31
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Moser05
2005-03-03
Update-Propagation in gestaffelten und verteilten Caches.
43-45
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Vrhovnik05
2005-03-03
Konzeption und Implementierung eines offenen, ontologiebasierten Übungssystems.
32-34
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Eckardt05
2005-03-03
Versionierung in relationalen Datenbanken.
23-25
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Rieche05
2005-03-03
Zeitfensterskalierung zur adaptiven Speicherverwaltung in Datenstrommanagementsystemen.
46-48
2005
BTW Studierenden-Programm
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005stud.html#Vaupel05
2005-04-05
DBMS Architecture - Still an Open Problem.
2-28
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Harder05
2005-04-05
Towards Reliable Data Stream Processing with OSIRIS-SE.
405-414
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BrettleckerSS05
2005-04-05
On Combining Business Process Integration and ETL Technologies.
533-546
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#MaierMLW05
2005-04-05
Smart Files: Combining the Advantages of DBMS and WfMS with the Simplicity and Flexibility of Spreadsheets.
175-184
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#ThileM05
2005-09-23
Informations- und Wissensmanagement im Jahr 2025: BTW allez oder BTW passeé.
29
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Weikum05
2005-04-05
Composing Web Services Specifications: Experiences in Implementing Policy-Driven Transactional Processes.
547-559
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Tai05
2005-04-05
Hybride Integration von molekularbiologischen Annotationsdaten.
345-364
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#KornerKDR05
2005-04-05
Integrating the Relational Interval Tree into IBM's DB2 Universal Database Server.
67-86
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BrochhausESSS05
2005-04-05
Using Aspect-Orientation to Add Persistency to Applications.
235-244
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Hohenstein05
2005-04-05
Efficient Similarity Search on Vector Sets.
425-443
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BrecheisenKP05
2005-04-05
A Learning Optimizer for a Federated Database Management System.
87-106
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#EwenOM05
2005-04-05
The Importance of Being Earnest about Definitions.
560-577
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Thomas05
2005-05-11
Plaengine: Ein System zur Planung und Ausführung von Workflows.
225-234
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#SchuschelW05
2005-04-05
Unified Querying of Ontology Languages with the SIRUP Ontology Query API.
325-344
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#ZieglerSD05
2005-04-05
AutoGlobe: Automatische Administration von dienstbasierten Datenbankanwendungen.
205-224
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#GmachSWK05
2005-05-02
Model Management: First Steps and Beyond.
455-464
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Melnik05
2005-04-05
Verteilte Anfrageverarbeitung in DHT-basierten P2P-Systemen.
165-174
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#RoschWSB05
2005-04-05
Maintaining Nonparametric Estimators over Data Streams.
385-404
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BlohsfeldHS05
2005-04-05
Measuring the Quality of Approximated Clusterings.
415-424
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#KriegelP05
2005-04-05
Adaptive XML Access Control Based on Query Nesting, Modification and Simplification.
295-304
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BottcherS05
2005-04-05
Self-Extending Peer Data Management.
165-174
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#HeeseHNR05
2005-04-05
Temporale Datenintegration in Data-Warehouse-Systemen.
465-474
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Harren05
2005-11-08
From Content Management to Enterprise Content Management.
596-613
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#MegaWM05
2005-04-05
Web Data Extraction for Business Intelligence: The Lixto Approach.
30-47
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Gottlob05
2005-04-05
Element Relationship: Exploiting Inline Markup for Better XML Retrieval.
285-294
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Dopichaj05
2005-04-05
WebDBSearch - Eine Suchmaschine zum Auffinden relevanter Informationseinheiten in Web-Datenbanken.
305-324
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#WeberH05
2005-04-05
Developing Software in a Service-Oriented World.
476-484
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Hohpe05
2005-04-05
BizTalk Integration Broker.
485-494
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Thatte05
2005-04-05
Implementing XQuery 1.0: The Story of Galax.
30-47
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Fernandez05
2005-04-05
Valid Updates for Persistent XML Objects.
245-264
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#SchuhartL05
2005-04-05
Sortierbasierte Joins über Datenströmen.
365-384
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#CammertHKS05
2005-04-05
Das Common Warehouse Metamodel als Referenzmodell für Metadaten im Data Warehouse und dessen Erweiterung im SAP Business Information Warehouse.
578-595
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Hahne05
2005-04-05
The MINERVA Project: Database Selection in the Context of P2P Search.
125-144
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#BenderMWZ05
2005-04-05
Service-orientierte Architektur für einen eCommerce B2B Marktplatz.
495-514
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Weckenmann05
2005-04-05
Eine XML-Programmierschnittstelle zur transaktionsgeschützten Kombination von DOM, SAX und XQuery.
265-284
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Haustein05
2005-04-05
Flexible Autorisierung in Web Service-Föderationen.
185-204
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#WimmerEK05
2005-04-05
Einen Schritt zurück zum negativen Datenbank-Caching.
107-124
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#Buhmann05
2005-04-05
Verwaltung spatio-temporaler Audiodaten für die Wellenfeldsynthese.
444-453
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#HeimrichSRG05
2005-04-05
Auswahl geeigneter Technologien für betriebliche Integrationsszenarien.
515-532
2005
BTW
http://www.informatik.uni-trier.de/~ley/db/conf/btw/btw2005.html#FriebeLM05
2002-12-16
Distributed Computing Environment for Design Automation in the 90's (Panel Abstract).
220
1990
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Chawla90
2002-12-16
Electromigration Reliability Enhancement via Bus Activity Distribution.
353-356
1996
DAC
http://doi.acm.org/10.1145/240518.240585
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#DasguptaK96
2002-12-16
A Boolean Approach to Performance-Directed Technology Mapping for LUT-Based FPGA Designs.
730-733
1996
DAC
http://doi.acm.org/10.1145/240518.240657
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LeglWE96
2002-12-16
A High Performance Routing Engine.
793-799
1987
DAC
http://doi.acm.org/10.1145/37888.38013
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#SpiersE87
2002-12-16
Design of an one-cycle decompression hardware for performance increase in embedded systems.
34-39
2002
DAC
http://doi.acm.org/10.1145/513918.513929
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LekatsasHJ02
2004-02-11
Code compression for low power embedded system design.
294-299
2000
DAC
http://doi.acm.org/10.1145/337292.337423
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LekatsasHW00
2006-03-14
LOGEX - an Automatic Logic Extractor Form Transistor to Gate Level for CMOS Technology.
517-522
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285814
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Boehner88
2002-12-16
Hardware Emulation for Functional Verification of K5.
315-318
1996
DAC
http://doi.acm.org/10.1145/240518.240578
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#GanapathyNJFWN96
2002-12-16
Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001
DAC
2001
1-58113-297-2
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html
2002-12-16
Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002
DAC
2002
1-58113-461-4
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html
2004-07-26
Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003
DAC
2003
1-58113-688-9
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html
2002-12-16
A Case Study in Embedded System Design: An Engine Control Unit.
804-807
1998
DAC
http://doi.acm.org/10.1145/277044.277248
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CuattoPLJDSS98
2002-12-16
Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control.
854-859
2002
DAC
http://doi.acm.org/10.1145/513918.514129
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ZhongD02
2002-12-16
Heuristic Acceleration of Force-Directed Placement.
735-740
1987
DAC
http://doi.acm.org/10.1145/37888.38005
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Forbes87
2006-03-14
A Fast Algorithm to Minimize Multi-Output Mixed-Polarity Generalized Reed-Muller Forms.
427-432
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285799
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#HelliwellP88
2002-12-16
Retiming for DSM with Area-Delay Trade-Offs and Delay Constraints.
725-730
1999
DAC
http://doi.acm.org/10.1145/309847.310044
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#TabbaraBN99
2002-12-16
Watermarking Graph Partitioning Solutions.
486-489
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/30_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#WolfeWP01
2002-12-16
FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program.
678-683
1993
DAC
http://doi.acm.org/10.1145/157485.165090
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KamonTW93
2002-12-16
Hardware implementation of communication protocols modeled by concurrent EFSMs with multi-way synchronization.
762-767
2000
DAC
http://doi.acm.org/10.1145/337292.337771
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KatagiriYKHT00
2006-02-10
Parameter variations and impact on circuits and microarchitecture.
338-342
2003
DAC
http://doi.acm.org/10.1145/775832.775920
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#BorkarKNTKD03
2002-12-16
Issues and Answers in CAD Tool Interoperability.
509-514
1996
DAC
http://doi.acm.org/10.1145/240518.240615
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#MurrayMBTMV96
2006-03-14
New Models for Four- and Five-Layer Channel Routing.
589-593
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149636
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Ho92
2002-12-16
Automatic Tub Region Generation for Symbolic Layout Compaction.
302-306
1989
DAC
http://doi.acm.org/10.1145/74382.74433
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Lo89
2002-12-16
An industrial world channel router for non-rectangular channels.
490-494
1986
DAC
http://doi.acm.org/10.1145/318013.318091
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Ng86
2002-12-16
A "gridless" Variable-Width Channel Router for Marco Cell Design.
633-636
1987
DAC
http://doi.acm.org/10.1145/37888.37988
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Ng87
2002-12-16
Device-Circuit Optimization for Minimal Energy and Power Consumption in CMOS Random Logic Networks.
403-408
1997
DAC
http://doi.acm.org/10.1145/266021.266181
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#PantDC97
2002-12-16
Publicly Detectable Techniques for the Protection of Virtual Components.
474-479
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/30_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Qu01
2002-12-16
A Study of the Applicability of Hopfield Decision Neural Nets to VLSI CAD.
412-417
1989
DAC
http://doi.acm.org/10.1145/74382.74451
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Yu89
2002-12-16
A version server for computer-aided design data.
27-33
1986
DAC
http://doi.acm.org/10.1145/318013.318019
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#KatzAC86
2006-02-10
An IDF-based trace transformation method for communication refinement.
402-407
2003
DAC
http://doi.acm.org/10.1145/775832.775937
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#PimentelE03
2002-12-16
Microprocessor Testing: Which Technique is Best? (Panel).
294
1994
DAC
http://doi.acm.org/10.1145/196244.196383
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#AbrahamKPdDLSW94
2006-03-14
APT: An Area-Performance-Testability Driven Placement Algorithm.
141-146
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110364
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KimBCP92
2002-12-16
Automatic Generation of Compiled Simulations through Program Specialization.
205-210
1991
DAC
http://doi.acm.org/10.1145/127601.127665
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#AuWS91
2006-02-10
Exploring regular fabrics to optimize the performance-cost trade-off.
782-787
2003
DAC
http://doi.acm.org/10.1145/775832.776031
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#PileggiSSGKKPRT03
2002-12-16
Timing Analysis with Crosstalk as Fixpoints on Complete Lattice.
714-719
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/43_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ZhouSN01
2002-12-16
Hot-Carrier Reliability Enhancement via Input Reordering and Transistor Sizing.
819-824
1996
DAC
http://doi.acm.org/10.1145/240518.240672
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#DasguptaK96a
2002-12-16
Efficient Three-Dimensional Extraction Based on Static and Full-Wave Layered Green's Functions.
224-229
1998
DAC
http://doi.acm.org/10.1145/277044.277103
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ZhaoDKL98
2002-12-16
A New Nonlinear Driver Model for Interconnect Analysis.
561-566
1991
DAC
http://doi.acm.org/10.1145/127601.127733
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#RaghavanR91
2004-12-09
Synthesis for Hazard-free Customized CMOS Complex-Gate Networks Under Multiple-Input Changes.
77-82
1996
DAC
http://doi.acm.org/10.1145/240518.240534
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#KudvaGJN96
2002-12-16
Modeling and simulation of real defects using fuzzy logic.
631-636
2000
DAC
http://doi.acm.org/10.1145/337292.337601
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#AttarhaNL00
2004-07-27
Quadratic Placement Revisited.
752-757
1997
DAC
http://doi.acm.org/10.1145/266021.266362
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#AlpertCHMY97
2002-12-16
An Approach to Incremental Design of Distributed Embedded Systems.
450-455
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/28_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#PopEPP01
2002-12-16
The Interdependence Between Delay-Optimization of Synthesized Networks and Testing.
87-92
1991
DAC
http://doi.acm.org/10.1145/127601.127633
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WilliamsUM91
2002-12-16
Circuit-based Boolean Reasoning.
232-237
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/15_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KuehlmannGP01
2002-12-16
Whither (or wither?) ASIC handoff?
317-318
2002
DAC
http://doi.acm.org/10.1145/513918.514000
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SantariniJMEKRRY02
2002-12-16
Incremental logic synthesis through gate logic structure identification.
391-397
1986
DAC
http://doi.acm.org/10.1145/318013.318076
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#ShinshaKSKI86
2002-12-16
A Strategy for Real-Time Kernel Support in Application-Specific HW/SW Embedded Architectures.
678-683
1996
DAC
http://doi.acm.org/10.1145/240518.240646
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#VercauterenLM96a
2002-12-16
A Configuration Management System in a Data Management Framework.
699-703
1991
DAC
http://doi.acm.org/10.1145/127601.127754
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BanksBEFH91
2002-12-16
Verification of asynchronous circuits using Time Petri Net unfolding.
59-62
1996
DAC
http://doi.acm.org/10.1145/240518.240530
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SemenovY96
2002-12-16
Efficient Testing of Clock Regenerator Circuits in Scan Designs.
95-100
1997
DAC
http://doi.acm.org/10.1145/266021.266042
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#RainaBNMB97
2006-03-14
Connectivity Biased Channel Construction and Ordering for Building-Block Layout.
560-565
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285821
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Cai88a
2002-12-16
Are Formal Methods in Design for Real? (Panel Abstract).
474
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Venzl91
2006-02-10
A transformation based algorithm for reversible logic synthesis.
318-323
2003
DAC
http://doi.acm.org/10.1145/775832.775915
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MillerMD03
2002-12-16
A Channel/Switchbox Definition Algorithm for Building-Block Layout.
638-641
1990
DAC
http://doi.acm.org/10.1145/123186.123425
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#CaiW90
2002-12-16
On Minimizing the Number of L-Shaped Channels.
328-334
1991
DAC
http://doi.acm.org/10.1145/127601.127689
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#CaiW91
2006-01-31
Distributed and Parallel Demand Driven Logic Simulation.
485-490
1990
DAC
http://doi.acm.org/10.1145/123186.123348
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SubramanianZ90
2002-12-16
A Layout Improvement Method Based on Constraint Propagation for Analog LSI's.
510-513
1991
DAC
http://doi.acm.org/10.1145/127601.127723
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MogakiKSY91
2006-03-14
Opportunities in Computer Integrated Manufacturing.
82-83
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285742
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Hodges88
2002-12-16
DATAPATH: a CMOS data path silicon assembler.
722-729
1986
DAC
http://doi.acm.org/10.1145/318013.318149
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#MarshburnLBCLC86
2002-12-16
Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories.
689-694
1987
DAC
http://doi.acm.org/10.1145/37888.37999
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#MazumderPF87
2006-03-14
FPGA Design Principles (A Tutorial).
45-46
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110435
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HillD92
2002-12-16
Benchmarks for Cell Synthesis.
317-320
1990
DAC
http://doi.acm.org/10.1145/123186.123287
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#HillP90
2002-12-16
Global Routing Considerations in a Cell Synthesis System.
312-316
1990
DAC
http://doi.acm.org/10.1145/123186.123285
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#HillS90
2002-12-16
Needed: A Meta-Language for Evaluating the Expressiveness of EDIF, IGES, VHDL and Other Representation Mechanisms.
565-565
1987
DAC
http://doi.acm.org/10.1145/37888.37974
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Brei87
2002-12-16
A State Traversal Algorithm Using a State Covariance Matrix.
97-101
1993
DAC
http://doi.acm.org/10.1145/157485.164590
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#MotoharaHMMKSS93
2002-12-16
Algorithms for Library-Specific Sizing of Combinational Logic.
353-356
1990
DAC
http://doi.acm.org/10.1145/123186.123302
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Chan90
2002-12-16
Basic Concepts of Timing-oriented Design Automation for High-performance Mainframe Computers.
193-198
1991
DAC
http://doi.acm.org/10.1145/127601.127663
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#TeraiGWKEHH91
2002-12-16
Routing L-Shaped Channels in Nonslicing-Structure Placement.
152-158
1987
DAC
http://doi.acm.org/10.1145/37888.37911
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Chen87
2002-12-16
Graph Partitioning for Concurrent Test Scheduling in VLSI Circuit.
287-290
1991
DAC
http://doi.acm.org/10.1145/127601.127682
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Chen91
2002-12-16
Where VHDL Fits Within the CAD Environment.
491-494
1987
DAC
http://doi.acm.org/10.1145/37888.37961
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Hines87
2002-12-16
Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation.
418-423
1989
DAC
http://doi.acm.org/10.1145/74382.74452
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ChoB89
2002-12-16
A Nuffer Distribution Algorithm for High-Speed Clock Routing.
537-543
1993
DAC
http://doi.acm.org/10.1145/157485.165019
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChoS93
2002-12-16
A detailed cost model for concurrent use with hardware/software co-design.
269-274
2002
DAC
http://doi.acm.org/10.1145/513918.513989
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#RaganSS02
2002-12-16
Synthesis Using Path-Based scheduling: algorithms and Exercises.
450-455
1990
DAC
http://doi.acm.org/10.1145/123186.123335
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#CompasanoB90
2002-12-16
A Fast and Stable Hybrid Genetic Algorithm for the Ratio-Cut Partitioning Problem on Hypergraphs.
664-669
1994
DAC
http://doi.acm.org/10.1145/196244.196607
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BuiM94
2006-03-14
Automating the Design of Electronic Packaging (tutorial).
81
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286215
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Whalen88
2002-12-16
A Model for Scheduling Protocol-Constrained Components and Environments.
292-295
1999
DAC
http://doi.acm.org/10.1145/309847.309933
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HaynalB99
2002-12-16
Cooperative Approach to a Practical Analog LSI Layout System.
544-549
1993
DAC
http://doi.acm.org/10.1145/157485.165027
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#MogakiSKH93
2002-12-16
A New Model for the High Level Description and Simulation of VLSI Networks.
738-741
1989
DAC
http://doi.acm.org/10.1145/74382.74517
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HoevenLDD89
2002-12-16
New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing.
395-400
1996
DAC
http://doi.acm.org/10.1145/240518.240594
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LillisCLH96
2002-12-16
DA Algorithms in Non-EDA Applications: How Universal Are Our Techniques? (Panel).
503
1994
DAC
http://doi.acm.org/10.1145/196244.196513
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#McGeerTCHLS94
2002-12-16
Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists.
133-138
1995
DAC
http://doi.acm.org/10.1145/217474.217519
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#VenkataramanHFRCP95
2002-12-16
Architecture and Design of the MARS Hardware Accelerator.
101-107
1987
DAC
http://doi.acm.org/10.1145/37888.37903
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#AgrawalDEFJK87
2002-12-16
High-Level Synthesis for Testability: A Survey and Perspective.
131-136
1996
DAC
http://doi.acm.org/10.1145/240518.240543
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#WagnerD96
2002-12-16
Design Version Management in the GARDEN Framework.
704-710
1991
DAC
http://doi.acm.org/10.1145/127601.127755
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WagnerL91
2002-12-16
Novel Verification Framework Combining Structural and OBDD Methods in a Synthesis Environment.
414-419
1995
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ReddyKP95
2006-09-21
MIOS: A Flexible System for PCB Manufacturing.
460-465
1989
DAC
http://doi.acm.org/10.1145/74382.74459
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HungRH89
2006-03-14
Compaction with Incremental Over-Constraint Resolution.
390-395
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285793
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Schiele88
2002-12-16
An automated database design tool using the ELKA conceptual model.
752-759
1986
DAC
http://doi.acm.org/10.1145/318013.318156
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Gonzalez-SustaetaB86
2002-12-16
Standard Cell Placement Using Simulated Sintering.
56-59
1987
DAC
http://doi.acm.org/10.1145/37888.37896
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Grover87
2006-02-10
A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference.
520-525
2003
DAC
http://doi.acm.org/10.1145/775832.775965
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SengerMMGKGB03
2002-12-16
Nuts and Bolts of Core and SoC Verification.
249-252
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/16_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Albin01
2002-12-16
Removing user specified false paths from timing graphs.
270-273
2000
DAC
http://doi.acm.org/10.1145/337292.337417
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BlaauwPD00
2002-12-16
An O-Tree Representation of Non-Slicing Floorplan and Its Applications.
268-273
1999
DAC
http://doi.acm.org/10.1145/309847.309928
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GuoCY99
2002-12-16
Automatic Verification of Pipelined Microprocessors.
603-608
1994
DAC
http://doi.acm.org/10.1145/196244.196577
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BhagwatiD94
2005-12-23
A Data Path Layout Assembler for High Performance DSP Circuits.
306-311
1990
DAC
http://doi.acm.org/10.1145/123186.123284
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#CaiNSM90
2002-12-16
Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores.
317-320
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/20_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ChenBD01
2006-03-14
Circuit Enhancement by Eliminating Long False Paths.
249-252
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149427
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChenDC92
2002-12-16
An intelligent module generator environment.
730-735
1986
DAC
http://doi.acm.org/10.1145/318013.318151
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#SixCRM86
2002-12-16
Critical Path Selection for Performance Optimization.
547-550
1991
DAC
http://doi.acm.org/10.1145/127601.127730
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChenDL91
2002-12-16
Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation.
405-408
1996
DAC
http://doi.acm.org/10.1145/240518.240596
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenCW96
2002-12-16
A New Gate Delay Model for Simultaneous Switching and Its Applications.
289-294
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/19_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ChenGB01
2006-02-10
Performance-impact limited area fill synthesis.
22-27
2003
DAC
http://doi.acm.org/10.1145/775832.775841
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChenGK03
2006-03-14
BDDMAP: A Technology Mapper Based on a New Covering Algorithm.
484-487
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149571
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KungDNG92
2002-12-16
Low Power FPGA Design - A Re-engineering Approach.
656-661
1997
DAC
http://doi.acm.org/10.1145/266021.266312
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ChenHL97
2002-12-16
Proptest: A Property Based Test Pattern Generator for Sequential Circuits Using Test Compaction.
653-659
1999
DAC
http://doi.acm.org/10.1145/309847.310019
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GuoRP99
2002-12-16
Static Timing Analysis Including Power Supply Noise Effect on Propagation Delay in VLSI Circuits.
295-300
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/19_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BaiBH01
2002-12-16
Layout Driven Selecting and Chaining of Partial Scan.
262-267
1996
DAC
http://doi.acm.org/10.1145/240518.240567
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenLH96
2002-12-16
Power Efficient Mediaprocessors: Design Space Exploration.
321-326
1999
DAC
http://doi.acm.org/10.1145/309847.309943
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KinLMP99
2002-12-16
A reconfigurable FPGA-based readback signal generator for hard-drive read channel simulator.
349-354
2002
DAC
http://doi.acm.org/10.1145/513918.514008
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChenMB02
2002-12-16
Self-test methodology for at-speed test of crosstalk in chip interconnects.
619-624
2000
DAC
http://doi.acm.org/10.1145/337292.337597
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BaiDR00
2006-03-14
Future Computing Environments for DA (panel).
141
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286216
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Rappaport88
2002-12-16
Implementing the Vision: Electronic Design in the 1990's (Panel Abstract).
119
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Rappaport91
2002-12-16
Desensitization for Power Reduction in Sequential Circuits.
795-800
1996
DAC
http://doi.acm.org/10.1145/240518.240668
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenPL96
2006-03-14
The Role of Long and Short Paths in Circuit Performance Optimization.
543-548
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149627
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChengCDL92
2002-12-16
What's Between Simulation and Formal Verification? (Extended Abstract).
328-329
1998
DAC
http://doi.acm.org/10.1145/277044.277138
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Dill98
2006-03-13
Fast Printed Circuit Board Routing.
727-734
1987
DAC
http://doi.acm.org/10.1145/37888.38004
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Dion87
2002-12-16
Uncertainty-aware circuit optimization.
58-63
2002
DAC
http://doi.acm.org/10.1145/513918.513935
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BaiVS02
2002-12-16
Timing- and Constraint-Oriented Placement for Interconnected LSIs in Mainframe Design.
253-258
1991
DAC
http://doi.acm.org/10.1145/127601.127676
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#OgawaIMIST91
2006-03-14
Circuit Compilers don't have to be Slow.
622-627
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285834
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Diss88
2002-12-16
An Entropy Measure for the Complexity of Multi-Output Boolean Functions.
302-305
1990
DAC
http://doi.acm.org/10.1145/123186.123282
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChengA90
2002-12-16
Generation of High Quality Non-Robust Tests for Path Delay Faults.
365-369
1994
DAC
http://doi.acm.org/10.1145/196244.196423
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChengC94
2006-03-14
Improved Channel Routing by Via Minimization and Shifting.
677-680
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285846
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChengD88
2002-12-16
A New Hybrid Methodology for Power Estimation.
439-444
1996
DAC
http://doi.acm.org/10.1145/240518.240602
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChengCWM96
2002-12-16
Automatic Functional Test Generation Using the Extended Finite State Machine Model.
86-91
1993
DAC
http://doi.acm.org/10.1145/157485.164585
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChengK93
2002-12-16
Task scheduling and voltage selection for energy minimization.
183-188
2002
DAC
http://doi.acm.org/10.1145/513918.513966
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ZhangHC02
2002-12-16
A Transformation-Based Approach for Storage Optimization.
158-163
1995
DAC
http://doi.acm.org/10.1145/217474.217523
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ChengL95
2006-03-14
On the Over-Specification Problem in Sequential ATPG Algorithms.
16-21
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113933
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChengM92
2002-12-16
Differential Fault Simulation - a Fast Method Using Minimal Memory.
424-428
1989
DAC
http://doi.acm.org/10.1145/74382.74453
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ChengY89
2002-12-16
Automatic formal verification of DSP software.
130-135
2000
DAC
http://doi.acm.org/10.1145/337292.337339
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CurrieHR00
2002-12-16
An Application of Exploratory Data Analysis Techniques to Floorplan Design.
654-658
1987
DAC
http://doi.acm.org/10.1145/37888.37993
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#KoukaS87
2002-12-16
Efficient Final Placement Based on Nets-as-Points.
578-581
1989
DAC
http://doi.acm.org/10.1145/74382.74479
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ZhangPR89
2002-12-16
Analysis of Operation Delay and Execution Rate Constraints for Embedded Systems.
601-604
1996
DAC
http://doi.acm.org/10.1145/240518.240632
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Gupta96
2006-03-14
Improved Methods of Simulating RLC Couple and Uncoupled Transmission Lines Based on the Method of Characteristics.
300-305
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285779
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#GuraA88
2002-12-16
Average Interconnection Length and Interconnection Distribution Based on Rent's Rule.
574-577
1989
DAC
http://doi.acm.org/10.1145/74382.74478
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#GuraA89
2002-12-16
A Partitioning-Based Logic Optimization Method for Large Scale Circuits with Boolean Matrix.
653-657
1995
DAC
http://doi.acm.org/10.1145/217474.217605
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#NakamuraY95
2002-12-16
Symbolic Modeling and Evaluation of Data Paths.
389-394
1995
DAC
http://doi.acm.org/10.1145/217474.217560
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MonahanB95
2002-12-16
Concurrent Analysis Techniques for Data Path Timing Optimization.
47-50
1996
DAC
http://doi.acm.org/10.1145/240518.240527
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#MonahanB96
2002-12-16
A Global Router Using An Efficient Approximate Multicommodity Multiterminal Flow Algorithm.
316-321
1991
DAC
http://doi.acm.org/10.1145/127601.127687
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#CardenC91
2002-12-16
Engineering Change in a Non-Deterministic FSM Setting.
451-456
1996
DAC
http://doi.acm.org/10.1145/240518.240604
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#KhatriNKMBS96
2006-03-14
Area and Delay Mapping for Table-Look-Up Based Field Programmable Gate Arrays.
368-373
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149494
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SawkarT92
2002-12-16
Performance Directed Technology Mapping for Look-Up Table Based FPGAs.
208-212
1993
DAC
http://doi.acm.org/10.1145/157485.164672
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SawkarT93
2002-12-16
Multi-way Partitioning for Minimum Delay for Look-Up Table Based FPGAs.
201-205
1995
DAC
http://doi.acm.org/10.1145/217474.217530
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SawkarT95
2006-02-10
A hybrid SAT-based decision procedure for separation logic with uninterpreted functions.
425-430
2003
DAC
http://doi.acm.org/10.1145/775832.775945
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SeshiaLB03
2002-12-16
Toward Synthesis from English Descriptions.
742-745
1989
DAC
http://doi.acm.org/10.1145/74382.74519
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Cyre89
2002-12-16
Symbolic RTL Simulation.
47-52
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/04_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KolblKD01
2005-01-12
SLS - a fast switch level simulator for verification and fault coverage analysis.
164-170
1986
DAC
http://doi.acm.org/10.1145/318013.318039
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BarzilaiBHIS86
2006-03-14
Pearl: A CMOS Timing Analyzer.
148-153
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285754
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Cherry88
2002-12-16
Incremental CTL Model Checking Using BDD Subsetting.
457-462
1998
DAC
http://doi.acm.org/10.1145/277044.277171
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#PardoH98
2003-09-26
CAD Methodology for the Design of UltraSPARC-I Microprocessor at Sun Microsystems Inc.
19-22
1995
DAC
http://doi.acm.org/10.1145/217474.217485
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#CaoABDDDDDDFGGGILMMMPPPRRSSSSVWYYZZ95
2002-12-16
Bridge Fault simulation strategies for CMOS integrated Circuits.
458-462
1993
DAC
http://doi.acm.org/10.1145/157485.164976
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChessL93
2006-02-10
Architecting ASIC libraries and flows in nanometer era.
776-781
2003
DAC
http://doi.acm.org/10.1145/775832.776030
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#BittlestoneHSA03
2005-03-02
A switch level fault simulation environment.
780-785
2000
DAC
http://doi.acm.org/10.1145/337292.337777
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KrishnaswamyCT00
2002-12-16
Compiler-directed scratch pad memory hierarchy design and management.
628-633
2002
DAC
http://doi.acm.org/10.1145/513918.514077
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KandemirC02
2002-12-16
Using Register-Transfer Paths in Code Generation for Heterogeneous Memory-Register Architectures.
591-596
1996
DAC
http://doi.acm.org/10.1145/240518.240630
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#AraujoML96
2004-06-02
On the General False Path Problem in Timing Analysis.
555-560
1989
DAC
http://doi.acm.org/10.1145/74382.74475
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#DuYG89
2002-12-16
<sub>DDQ</sub>Faults in CMOS/BiCMOS Circuits.
313-316
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/20_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#RaahemifarA01
2002-12-16
Using Lower Bounds During Dynamic BDD Minimization.
29-32
1999
DAC
http://doi.acm.org/10.1145/309847.309858
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#DrechslerG99
2006-03-14
A High Packing Density Module Generator for CMOS Logic Cells.
439-444
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285801
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ShiraishiSKTS88
2002-12-16
The wearable motherboard: a framework for personalized mobile information processing (PMIP).
170-174
2002
DAC
http://doi.acm.org/10.1145/513918.513961
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ParkMJ02
2006-05-30
On Test Set Preservation of Retimed Circuits.
176-182
1995
DAC
http://doi.acm.org/10.1145/217474.217526
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#El-MalehMRM95
2002-12-16
Protocol Generation for Communication Channels.
547-551
1994
DAC
http://doi.acm.org/10.1145/196244.196530
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#NarayanG94
2002-12-16
Interfacing Incompatible Protocols Using Interface Process Generation.
468-473
1995
DAC
http://doi.acm.org/10.1145/217474.217572
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#NarayanG95
2006-05-19
Multi-Time Simulation of Voltage-Controlled Oscillators.
629-634
1999
DAC
http://doi.acm.org/10.1145/309847.310014
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#NarayanR99
2002-12-16
Abstract Data Types and High-Level Synthesis.
680-685
1990
DAC
http://doi.acm.org/10.1145/123186.123437
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#WhitcombN90
2002-12-16
CASE: An Integrated Design Environment for Algorithm-Driven Architectures.
596-599
1987
DAC
http://doi.acm.org/10.1145/37888.37982
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Bulterman87
2002-12-16
Layout Driven Technology Mapping.
99-105
1991
DAC
http://doi.acm.org/10.1145/127601.127635
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PedramB91
2006-03-14
Routing Algorithm for Gate Array Macro Cells.
658-662
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285842
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChakravertiC88
2002-12-16
Design Considerations for Battery-Powered Electronics.
861-866
1999
DAC
http://doi.acm.org/10.1145/309847.310089
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PedramW99
2006-03-14
VHDL: A Call for Standards.
40-47
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286214
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Coelho88
2006-02-10
High level formal verification of next-generation microprocessors.
1-6
2003
DAC
http://doi.acm.org/10.1145/775832.775834
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Schubert03
2002-12-16
Embedding infrastructure IP for SOC yield improvement.
709-712
2002
DAC
http://doi.acm.org/10.1145/513918.514098
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Zorian02
2002-12-16
System-Chip Test Strategies (Tutorial).
752-757
1998
DAC
http://doi.acm.org/10.1145/277044.277234
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Zorian98
2006-03-14
HERCULES - a System for High-Level Synthesis.
483-488
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285808
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#MicheliK88
2002-12-16
Reduced Offsets for Two-Level Multi-Valued Logic Minimization.
290-296
1990
DAC
http://doi.acm.org/10.1145/123186.123279
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#MalikBNS90
2002-12-16
An Efficient Non-Quasi-Static Diode Model for Circuit Simulation.
720-725
1993
DAC
http://doi.acm.org/10.1145/157485.165107
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#YangLYD93
2002-12-16
MEMS CAD Beyond Multi-Million Transistors (Panel).
535-536
1999
DAC
http://doi.acm.org/10.1145/309847.309993
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PisterPSHRGF99
2002-12-16
Mismatch Analysis and Direct Yield Optimization by Spec-Wise Linearization and Feasibility-Guided Search.
858-863
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/50_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SchenkelPZSGA01
2005-04-13
System-level performance optimization of the data queueing memory management in high-speed network processors.
518-523
2002
DAC
http://doi.acm.org/10.1145/513918.514050
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Ykman-CouvreurLVCNK02
2006-03-14
CAD Framework Initiative - A User Perspective.
672-675
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149665
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Scallan92
2004-10-15
Segmented Channel Routing.
567-572
1990
DAC
http://doi.acm.org/10.1145/123186.123405
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#GreeneRKG90
2002-12-16
Design Productivity: How To Measure It, How To Improve It (Panel).
578-579
1998
DAC
http://doi.acm.org/10.1145/277044.277196
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Dangelo98
2002-12-16
Robust Elmore Delay Models Suitable for Full Chip Timing Verification of a 600MHz CMOS Microprocessor.
230-235
1998
DAC
http://doi.acm.org/10.1145/277044.277104
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#NassifDH98
2002-12-16
OEsim: A Simulator for Timing Behavior.
656-661
1991
DAC
http://doi.acm.org/10.1145/127601.127746
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#AmonB91
2006-03-14
An Approach to Symbolic Timing Verification.
410-413
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149551
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#AmonB92
2003-03-27
Optimal design of delta-sigma ADCs by design space exploration.
443-448
2002
DAC
http://doi.acm.org/10.1145/513918.514031
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BajdechiHG02
2002-12-16
Wall street evaluates EDA.
1
2002
DAC
http://doi.acm.org/10.1145/513918.513920
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#GavrielovGLSV02
2002-12-16
A Parallel PLA Minimization Program.
600-607
1987
DAC
http://doi.acm.org/10.1145/37888.37983
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#GalivancheR87
2002-12-16
A Web-CAD methodology for IP-core analysis and simulation.
597-600
2000
DAC
http://doi.acm.org/10.1145/337292.337590
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#FinF00
2002-12-16
Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis.
63-66
1996
DAC
http://doi.acm.org/10.1145/240518.240531
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#CortadellaKKLY96
2002-12-16
A Fast State Reduction Algorithm for Incompletely Specified Finite State Machines.
463-466
1996
DAC
http://doi.acm.org/10.1145/240518.240606
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HiguchiM96
2002-12-16
Technology Mapping for Lower Power.
74-79
1993
DAC
http://doi.acm.org/10.1145/157485.164581
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#TiwariAM93
2002-12-16
Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits.
102-106
1993
DAC
http://doi.acm.org/10.1145/157485.164617
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KajiharaPKR93
2006-02-10
Model order reduction of nonuniform transmission lines using integrated congruence transform.
238-243
2003
DAC
http://doi.acm.org/10.1145/775832.775893
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#GadN03
2002-12-16
Are EDA Platform Preferences About to Shift? (Panel Abstract).
482
1993
DAC
http://doi.acm.org/10.1145/157485.164988
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Johnson93
2002-12-16
Automatic generation of embedded memory wrapper for multiprocessor SoC.
596-601
2002
DAC
http://doi.acm.org/10.1145/513918.514070
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#GharsalliMRJ02
2002-12-16
Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis.
573-578
1996
DAC
http://doi.acm.org/10.1145/240518.240627
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BerrebiKVTHFJB96
2002-12-16
Technology Summit - A View from the Top (Panel).
407
1994
DAC
http://doi.acm.org/10.1145/196244.196437
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#GrahamGCHMN94
2006-02-10
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases.
436-441
2003
DAC
http://doi.acm.org/10.1145/775832.775947
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LuWCMH03
2003-08-29
A fast on-chip profiler memory.
28-33
2002
DAC
http://doi.acm.org/10.1145/513918.513928
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LyseckyCV02
2006-03-14
Freeze!: A New Approach for Testing Sequential Circuits.
22-25
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113932
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#AbramoviciRM92
2002-12-16
Using embedded FPGAs for SoC yield improvement.
713-724
2002
DAC
http://doi.acm.org/10.1145/513918.514099
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AbramoviciSE02
2002-12-16
A Methodology for the Verification of a ``System on Chip''.
574-579
1999
DAC
http://doi.acm.org/10.1145/309847.310001
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GeistBASNFHLKB99
2003-11-06
A Massively-Parallel Easily-Scalable Satisfiability Solver Using Reconfigurable Hardware.
684-690
1999
DAC
http://doi.acm.org/10.1145/309847.310028
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#AbramoviciSS99
2002-12-16
Digital System Simulation: Methodologies and Examples.
658-663
1998
DAC
http://doi.acm.org/10.1145/277044.277212
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#OlukotunHO98
2002-12-16
Low-cost sequential ATPG with clock-control DFT.
243-248
2002
DAC
http://doi.acm.org/10.1145/513918.513983
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AbramoviciYR02
2002-12-16
Force-Directed Scheduling in Automatic Data Path Synthesis.
195-202
1987
DAC
http://doi.acm.org/10.1145/37888.37918
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#PaulinK87
2002-12-16
Scheduling and Binding Algorithms for High-Level Synthesis.
1-6
1989
DAC
http://doi.acm.org/10.1145/74382.74383
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#PaulinK89
2002-12-16
Watermarking while preserving the critical path.
108-111
2000
DAC
http://doi.acm.org/10.1145/337292.337328
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#MeguerdichianP00
2002-12-16
Design Automation in the Soviet Union: History and Status (Abstract).
118
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Kazyonnov91
2004-12-08
Effectiveness of heuristics measures for automatic test pattern generation.
547-552
1986
DAC
http://doi.acm.org/10.1145/318013.318101
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#PatelP86
2005-06-20
Logic synthesis and optimization benchmarks for the 1986 Design Automation Conference.
78
1986
DAC
http://doi.acm.org/10.1145/318013.318027
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Geus86
2002-12-16
The AT&T 5ESS Hardware Design Environment: A Large System's Hardware design Process.
527-531
1994
DAC
http://doi.acm.org/10.1145/196244.196524
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Radtke94
2002-12-16
Microarchitectural Synthesis of VLSI Designs with High Test Concurrency.
206-211
1994
DAC
http://doi.acm.org/10.1145/196244.196353
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#HarrisO94
2002-12-16
Interconnect testing in cluster-based FPGA architectures.
49-54
2000
DAC
http://doi.acm.org/10.1145/337292.337310
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#HarrisT00
2006-06-01
Design Methodology Used in a Single-Chip CMOS 900 MHz Spread-Spectrum Wireless Transceiver.
44-49
1998
DAC
http://doi.acm.org/10.1145/277044.277053
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#RaelRA98
2002-12-16
Task scheduling with RT constraints.
483-488
2000
DAC
http://doi.acm.org/10.1145/337292.337551
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#NataleSB00
2002-12-16
Resistance Extraction using a Routing Algorithm.
38-42
1993
DAC
http://doi.acm.org/10.1145/157485.164559
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LadageL93
2002-12-16
The iCOREtm 520 MHz synthesizable CPU core.
640-645
2002
DAC
http://doi.acm.org/10.1145/513918.514080
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#RichardsonHHZSL02
2002-12-16
Residue BDD and Its Application to the Verification of Arithmetic Circuits.
542-545
1995
DAC
http://doi.acm.org/10.1145/217474.217584
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Kimura95
2002-12-16
Simultaneous Gate and Interconnect Sizing for Circuit-Level Delay Optimization.
690-695
1995
DAC
http://doi.acm.org/10.1145/217474.217612
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MenezesPP95
2002-12-16
Resistance Extraction and Resistance Calculation in GOALIE?
682-685
1989
DAC
http://doi.acm.org/10.1145/74382.74503
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Chiang89
2002-12-16
Minimization of Memory Traffic in High-Level Synthesis.
149-154
1994
DAC
http://doi.acm.org/10.1145/196244.196316
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KolsonND94
2002-12-16
Going mobile: the next horizon for multi-million gate designs in the semi-conductor industry.
375-378
2002
DAC
http://doi.acm.org/10.1145/513918.514015
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Berthet02
2002-12-16
A Parallel Branch and Bound Algorithm for Test Generation.
339-343
1989
DAC
http://doi.acm.org/10.1145/74382.74439
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#PatilB89
2002-12-16
Fast Prototyping: A System Design Flow Applied to a Complex System-on-Chip Multiprocessor Design.
420-424
1999
DAC
http://doi.acm.org/10.1145/309847.309971
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ClementHLRCP99
2002-12-16
Automatic Layout Synthesis of Leaf Cells.
267-272
1995
DAC
http://doi.acm.org/10.1145/217474.217540
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#RekhiTL95
2002-12-16
An expert-system paradigm for design.
62-68
1986
DAC
http://doi.acm.org/10.1145/318013.318024
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BrewerG86
2002-12-16
Knowledge Based Control in Micro-Architecture Design.
203-209
1987
DAC
http://doi.acm.org/10.1145/37888.37919
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#BrewerG87
2002-12-16
SPIE: Sparse Partial Inductance Extraction.
137-140
1997
DAC
http://doi.acm.org/10.1145/266021.266050
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#HeCP97
2002-12-16
Design Reliability - Estimation through Statistical Analysis of Bug Discovery Data.
644-649
1998
DAC
http://doi.acm.org/10.1145/277044.277209
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#MalkaZ98
2002-12-16
Partnering with EDA Vendors: Tips, Techniques, and the Role of Standards.
131-134
1994
DAC
http://doi.acm.org/10.1145/196244.196308
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Murphy94
2002-12-16
An Information Model of Time.
668-672
1993
DAC
http://doi.acm.org/10.1145/157485.165087
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#GiumaleK93
2002-12-16
Information Models of VHDL.
678-683
1995
DAC
http://doi.acm.org/10.1145/217474.217610
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#GiumaleK95
2002-12-16
Low Power CMOS Design Strategies.
594-595
1994
DAC
http://doi.acm.org/10.1145/196244.196574
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#SchobingerN94
2002-12-16
On Thermal Effects in Deep Sub-Micron VLSI Interconnects.
885-891
1999
DAC
http://doi.acm.org/10.1145/309847.310093
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BanerjeeMSH99
2002-12-16
Optimal Graph Constraint Reduction for Symbolic Layout Compaction.
401-406
1993
DAC
http://doi.acm.org/10.1145/157485.164950
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#PanDL93
2002-12-16
Establishment of higher level logic design for very large scale computer.
366-371
1986
DAC
http://doi.acm.org/10.1145/318013.318071
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#TsuchiyaMITMY86
2002-12-16
Design Methodology of a 200MHz Superscalar Microprocessor: SH-4.
246-249
1998
DAC
http://doi.acm.org/10.1145/277044.277108
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HattoriNSNUTS98
2002-12-16
GEMS: an automatic layout tool for MIMOLA schematics.
131-137
1986
DAC
http://doi.acm.org/10.1145/318013.318034
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#VenkataramanW86
2003-11-04
High-level synthesis of multiple-precision circuitsindependent of data-objects length.
612-615
2002
DAC
http://doi.acm.org/10.1145/513918.514073
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MolinaMH02
2002-12-16
Improved Merging of Datapath Operators using Information Content and Required Precision Analysis.
462-467
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/29_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MathurS01
2003-07-16
Model order reduction for strictly passive and causal distributed systems.
46-51
2002
DAC
http://doi.acm.org/10.1145/513918.513932
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#DanielP02
2002-12-16
Benchmark Runs of the Subscripted D-Algorithm with Observation Path Mergers on the Brglez-Fujiwara Circuits.
509-515
1987
DAC
http://doi.acm.org/10.1145/37888.37964
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LadjadjM87
2002-12-16
Efficient Capacitance Computation for Structures with Non-Uniform Adaptive Surface Meshes.
543-548
1999
DAC
http://doi.acm.org/10.1145/309847.309995
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#JandhyalaSBC99
2002-12-16
On lower bounds for scheduling problems in high-level synthesis.
546-551
2000
DAC
http://doi.acm.org/10.1145/337292.337573
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#NarasimhanR00
2002-12-16
Automated Phase Assignment for the Synthesis of Low Power Domino Circuits.
379-384
1999
DAC
http://doi.acm.org/10.1145/309847.309964
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PatraN99
2006-02-10
Automatic application-specific instruction-set extensions under microarchitectural constraints.
256-261
2003
DAC
http://doi.acm.org/10.1145/775832.775897
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AtasuPI03
2004-06-25
When bad things happen to good chips (panel session).
736-737
2000
DAC
http://doi.acm.org/10.1145/337292.337764
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#NagarajSNHYKOK00
2006-03-14
Multi-Pads, Single Layer Power Net Routing in VLSI Circuits.
183-188
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285760
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Cai88
2002-12-16
A CAD System for the Design of Field Programmable Gate Arrays.
187-192
1991
DAC
http://doi.acm.org/10.1145/127601.127662
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Hill91
2006-02-10
Verilog HDL, powered by PLI: a suitable framework for describing and modeling asynchronous circuits at all levels of abstraction.
330-333
2003
DAC
http://doi.acm.org/10.1145/775832.775917
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SaifhashemiP03
2002-12-16
An Automatic Rectilinear Partitioning Procedure for Standard Cells.
50-55
1987
DAC
http://doi.acm.org/10.1145/37888.37895
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Chi87
2002-12-16
New Placement and Global Routing Algorithms for Standard Cell Layouts.
642-645
1990
DAC
http://doi.acm.org/10.1145/123186.123427
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#EdahiroY90
2002-12-16
High Performance BDD Package By Exploiting Memory Hiercharchy.
635-640
1996
DAC
http://doi.acm.org/10.1145/240518.240638
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SanghaviRBS96
2002-12-16
Chip Parasitic Extraction and Signal Integrity Verification (Extended Abstract).
717-719
1997
DAC
http://doi.acm.org/10.1145/266021.266351
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Dai97
2006-02-10
Verification strategy for integration 3G baseband SoC.
7-10
2003
DAC
http://doi.acm.org/10.1145/775832.775835
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MathysC03
2002-12-16
Performance Specification Using Attributed Grammars.
661-667
1993
DAC
http://doi.acm.org/10.1145/157485.165085
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#MandayamV93
2002-12-16
A codesign virtual machine for hierarchical, balanced hardware/software system modeling.
390-395
2000
DAC
http://doi.acm.org/10.1145/337292.337506
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#PaulPT00
2002-12-16
Coded Time-Symbolic Simulation Using Shared Binary Decision Diagram.
130-135
1990
DAC
http://doi.acm.org/10.1145/123186.123240
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#IshiuraDY90
2002-12-16
Performance-Driven Scheduling with Bit-Level Chaining.
286-291
1999
DAC
http://doi.acm.org/10.1145/309847.309932
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ParkC99
2002-12-16
Layout Optimization by Pattern Modification.
632-637
1990
DAC
http://doi.acm.org/10.1145/123186.123424
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Hojati90
2002-12-16
Digital Filter Synthesis Based on Minimal Signed Digit Representation.
468-473
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/29_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ParkK01
2002-12-16
Fast and Near Optimal Scheduling in Automatic Data Path Aynthesis.
680-685
1991
DAC
http://doi.acm.org/10.1145/127601.127750
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ParkK91
2002-12-16
An Efficient Delay Test Generation System for Combinational Logic Circuits.
522-528
1990
DAC
http://doi.acm.org/10.1145/123186.123390
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ParkM90
2002-12-16
Sehwa: a program for synthesis of pipelines.
454-460
1986
DAC
http://doi.acm.org/10.1145/318013.318086
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#ParkP86
2002-12-16
Tutorial: Reading and Reviewing the Common Schema for Electrical Design and Analysis.
479-483
1987
DAC
http://doi.acm.org/10.1145/37888.37959
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Parks87
2006-10-30
GENAC: An Automatic Cell Synthesis Tool.
239-244
1989
DAC
http://doi.acm.org/10.1145/74382.74423
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#OngLL89
2002-12-16
Time-Symbolic Simulation for Accurate Timing Verification of Asynchronous Behavior of Logic Circuits.
497-502
1989
DAC
http://doi.acm.org/10.1145/74382.74465
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#IshiuraTY89
2002-12-16
NES: The Behavioral Model for the Formal Semantics of a Hardware Design Language UDL/I.
8-13
1990
DAC
http://doi.acm.org/10.1145/123186.123191
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#IshiuraYY90
2006-06-20
A New Approach to the Rectilinear Steiner Tree Problem.
161-166
1989
DAC
http://doi.acm.org/10.1145/74382.74410
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HoVW89
2002-12-16
Horizontal Partitioning of PLA-based Finite State Machines.
333-338
1989
DAC
http://doi.acm.org/10.1145/74382.74438
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Paulin89
2002-12-16
The Design of High-Performance Microprocessors at Digital.
586-591
1994
DAC
http://doi.acm.org/10.1145/196244.196570
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Fox94
2002-12-16
Improved Methods for Worst-Case Analysis and Optimization Incorporating Operating Tolerances.
142-147
1993
DAC
http://doi.acm.org/10.1145/157485.164641
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#GraebWA93
2003-10-31
Using general-purpose programming languages for FPGA design.
561-566
2000
DAC
http://doi.acm.org/10.1145/337292.337581
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#HutchingsN00
2002-12-16
Memory aware compilation through accurate timing extraction.
316-321
2000
DAC
http://doi.acm.org/10.1145/337292.337428
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GrunDN00
2002-12-16
Flexible Transistor Matrix (FTM).
475-480
1991
DAC
http://doi.acm.org/10.1145/127601.127715
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#HoS91
2002-12-16
Reducing BDD Size by Exploiting Functional Dependencies.
266-271
1993
DAC
http://doi.acm.org/10.1145/157485.164888
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HuD93
2006-02-10
Wire length prediction based clustering and its application in placement.
800-805
2003
DAC
http://doi.acm.org/10.1145/775832.776035
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HuM03
2002-12-16
FAR-DS: Full-Plane AWE Routing with Driver Sizing.
84-89
1999
DAC
http://doi.acm.org/10.1145/309847.309881
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HuS99
2002-12-16
Early Power Exploration - A World Wide Web Application.
27-32
1996
DAC
http://doi.acm.org/10.1145/240518.240523
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LidskyR96
2006-03-14
A Pin Permutation Algorithm for Improving Over-the-Cell Channel Routing.
594-599
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149637
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HouC92
2002-12-16
Simulating and controlling the effects of transmission line impedance mismatches.
778-785
1986
DAC
http://doi.acm.org/10.1145/318013.318159
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Canright86
2002-12-16
State Reduction Using Reversible Rules.
564-567
1996
DAC
http://doi.acm.org/10.1145/240518.240625
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#IpD96
2002-12-16
An Efficient Partitioning Strategy for Pseudo-Exhaustive Testing.
242-248
1993
DAC
http://doi.acm.org/10.1145/157485.164880
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SrinivasanGB93
2002-12-16
Automatic Incorporation of On-Chip Testability Circuits.
529-534
1990
DAC
http://doi.acm.org/10.1145/123186.123393
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Ito90
2002-12-16
Technology Decomposition and Mapping Targeting Low Power Dissipation.
68-73
1993
DAC
http://doi.acm.org/10.1145/157485.164577
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#TsuiPD93
2002-12-16
Exact and Approximate Methods for Calculating Signal and Transition Probabilities in FSMs.
18-23
1994
DAC
http://doi.acm.org/10.1145/196244.196255
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#TsuiPD94
2002-12-16
Incremental Techniques for the Identification of Statically Sensitizable Critical Paths.
541-546
1991
DAC
http://doi.acm.org/10.1145/127601.127729
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#JuS91
2006-03-14
Incremental Circuit Simulation Using Waveform Relaxation.
8-11
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113936
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#JuS92
2002-12-16
Minimizing the Number of Delay Buffers in the Synchronization of Pipelined Systems.
758-763
1991
DAC
http://doi.acm.org/10.1145/127601.127765
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#HuHB91
2006-06-19
Worst-case Delay Estimation of Transistor Groups.
491-495
1989
DAC
http://doi.acm.org/10.1145/74382.74464
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#GaiottiDR89
2002-12-16
Identifying Sequential Redundancies Without Search.
457-462
1996
DAC
http://doi.acm.org/10.1145/240518.240605
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#IyerLA96
2002-12-16
"?": A Context-Sensitive Help System Based on Hypertext.
429-435
1987
DAC
http://doi.acm.org/10.1145/37888.37952
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Lee87
2002-12-16
A Bounded 2D Contour Searching Algorithm for Floorplan Design with Arbitrarily Shaped Rectilinear and Soft Modules.
525-530
1993
DAC
http://doi.acm.org/10.1145/157485.165014
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Lee93
2002-12-16
HDL Optimization Using Timed Decision Tables.
51-54
1996
DAC
http://doi.acm.org/10.1145/240518.240528
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LiG96
2002-12-16
Limited Exception Modeling and Its Use in Presynthesis Optimizations.
341-346
1997
DAC
http://doi.acm.org/10.1145/266021.266136
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LiG97
2002-12-16
A Framework for Estimation and Minimizing Energy Dissipation of Embedded HW/SW Systems.
188-193
1998
DAC
http://doi.acm.org/10.1145/277044.277097
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LiH98
2002-12-16
Layout Extraction and Verification Methodology CMOS I/O Circuits.
291-296
1998
DAC
http://doi.acm.org/10.1145/277044.277129
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LiK98
2002-12-16
A Cross-Debugging Method for Hardware/Software Co-design Environments.
673-677
1993
DAC
http://doi.acm.org/10.1145/157485.165088
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Kra93
2002-12-16
Performance Analysis of Embedded Software Using Implicit Path Enumeration.
456-461
1995
DAC
http://doi.acm.org/10.1145/217474.217570
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LiM95
2006-05-31
Computing Parametric Yield Adaptively Using Local Linear Models.
831-836
1996
DAC
http://doi.acm.org/10.1145/240518.240674
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LiM96
2006-02-10
NORM: compact model order reduction of weakly nonlinear systems.
472-477
2003
DAC
http://doi.acm.org/10.1145/775832.775955
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LiP03
2002-12-16
A Unified Data Exchange Environment Based on EDIF.
803-806
1989
DAC
http://doi.acm.org/10.1145/74382.74534
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LiS89
2002-12-16
A Task-Level Hierarchical Memory Model for System Synthesis of Multiprocessors.
153-156
1997
DAC
http://doi.acm.org/10.1145/266021.266053
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LiW97
2002-12-16
Relative Scheduling Under Timing Constraints.
59-64
1990
DAC
http://doi.acm.org/10.1145/123186.123227
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#KuM90
2002-12-16
Towards global routing with RLC crosstalk constraints.
669-672
2002
DAC
http://doi.acm.org/10.1145/513918.514088
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MaH02
2002-12-16
A System Design Methodology for Software/Hardware Co-Development of Telecommunication Network Applications.
672-677
1996
DAC
http://doi.acm.org/10.1145/240518.240645
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Lin96
2002-12-16
Software Synthesis of Process-Based Concurrent Programs.
502-505
1998
DAC
http://doi.acm.org/10.1145/277044.277182
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Lin98
2002-12-16
Mixed-level fault coverage estimation.
553-559
1986
DAC
http://doi.acm.org/10.1145/318013.318102
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#MaS86
2002-12-16
Design Data Management in a CAD Framework Environment.
156-161
1990
DAC
http://doi.acm.org/10.1145/123186.123245
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Liu90
2002-12-16
Education for the Deep Submicron Age: Business as Usual?
307-312
1997
DAC
http://doi.acm.org/10.1145/266021.266115
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Man97
2002-12-16
<i>n</i><sup>1.5</sup><i>n</i>) 1-d Compaction Algorithm.
382-387
1990
DAC
http://doi.acm.org/10.1145/123186.123312
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#LoV90
2004-02-13
Behavioral Synthesis Techniques for Intellectual Property Protection.
849-854
1999
DAC
http://doi.acm.org/10.1145/309847.310085
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HongP99
2002-12-16
Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits.
489-494
1998
DAC
http://doi.acm.org/10.1145/277044.277179
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#WeiCJRD98
2002-12-16
New Techniques for Efficient Verification with Implicitly Conjoined BDDs.
276-282
1994
DAC
http://doi.acm.org/10.1145/196244.196377
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#HuYD94
2006-10-30
Incorporating Imprecise Computation into System-Level Design of Application-Specific Heterogeneous Multiprocessors.
58-63
1997
DAC
http://doi.acm.org/10.1145/266021.266035
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Tirat-GefenSP97
2006-06-19
A Fast Physical Constraint Generator for Timing Driven Layout.
626-631
1991
DAC
http://doi.acm.org/10.1145/127601.114161
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Luk91
2006-03-14
Constraint Propagation in an Object-Oriented IC Design Environment.
628-633
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285835
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#LyG88
2002-12-16
River PLAs: a regular circuit structure.
201-206
2002
DAC
http://doi.acm.org/10.1145/513918.513970
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MoB02
2002-12-16
Bottom Up Synthesis Based on Fuzzy Schedules.
674-679
1991
DAC
http://doi.acm.org/10.1145/127601.127749
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LyM91
2006-03-14
Coalgebraic Division for Multilevel Logic Synthesis.
438-442
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149562
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HsuS92
2002-12-16
A Framework for Scheduling Multi-Rate Circuit Simulation.
19-24
1989
DAC
http://doi.acm.org/10.1145/74382.74387
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#NgV89
2002-12-16
Permissible Observability Relations in FSM Networks.
677-683
1994
DAC
http://doi.acm.org/10.1145/196244.196613
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#WangB94
2002-12-16
Generating Efficient Tests for Continuous Scan.
162-165
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/10_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#WangC01
2002-12-16
ATPG for Heat Dissipation Minimization During Scan Testing.
614-619
1997
DAC
http://doi.acm.org/10.1145/266021.266298
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#WangG97
2002-12-16
Boolean Matching for Incompletely Specified Functions.
48-53
1995
DAC
http://doi.acm.org/10.1145/217474.217505
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#WangH95
2006-02-10
On-chip power supply network optimization using multigrid-based technique.
113-118
2003
DAC
http://doi.acm.org/10.1145/775832.775864
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#WangM03
2002-12-16
Scheduling High-Level Blocks for Functional Simulation.
87-90
1989
DAC
http://doi.acm.org/10.1145/74382.74398
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#WangM89
2002-12-16
LECSIM: A Levelized Event Driven Compiled Logic Simulation.
491-496
1990
DAC
http://doi.acm.org/10.1145/123186.123349
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#WangM90
2003-09-05
Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources.
247-252
2000
DAC
http://doi.acm.org/10.1145/337292.337407
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#WangN00
2002-12-16
Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques.
467-470
1996
DAC
http://doi.acm.org/10.1145/240518.240607
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#FerrandiFMPS96
2002-12-16
An Optimal Algorithm for Floorplan Area Optimization.
180-186
1990
DAC
http://doi.acm.org/10.1145/123186.123253
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#WangW90
2006-03-14
A Graph Theoretic Technique to Speed up Floorplan Area Optimization.
62-68
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110429
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#WangW92
2006-02-10
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models.
668-673
2003
DAC
http://doi.acm.org/10.1145/775832.776001
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#KrsticWCLM03
2002-12-16
Efficient code synthesis from extended dataflow graphs for multimedia applications.
275-280
2002
DAC
http://doi.acm.org/10.1145/513918.513990
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#OhH02
2002-12-16
Rate Optimal VLSI Design from Data Flow Graph.
118-121
1998
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#OhH98
2002-12-16
Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce.
287-290
1998
DAC
http://doi.acm.org/10.1145/277044.277128
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#OhP98
2002-12-16
Sizing of Clock Distribution Networks for High Performance CPU Chips.
389-394
1996
DAC
http://doi.acm.org/10.1145/240518.240593
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#DesaiCJ96
2002-12-16
How Much Analog Does a Designer Need to Know for Successful Mixed-Signal Design? (Panel).
250
1998
DAC
http://doi.acm.org/10.1145/277044.277112
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Ohr98
2002-12-16
Relaxation and Clustering in a Local Search Framework: Application to Linear Placement.
360-366
1999
DAC
http://doi.acm.org/10.1145/309847.309958
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HurL99
2002-12-16
RuleBase: An Industry-Oriented Formal Verification Tool.
655-660
1996
DAC
http://doi.acm.org/10.1145/240518.240642
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BeerBEL96
2002-12-16
Performance-Driven Integration of Retiming and Resynthesis.
243-246
1999
DAC
http://doi.acm.org/10.1145/309847.309921
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Pan99
2002-12-16
Multi-terminal determinant decision diagrams: a new approach to semi-symbolic analysis of analog integrated circuits.
19-22
2000
DAC
http://doi.acm.org/10.1145/337292.337304
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#PiS00
2002-12-16
Timing-driven placement based on partitioning with dynamic cut-net control.
472-476
2000
DAC
http://doi.acm.org/10.1145/337292.337548
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#OuP00
2002-12-16
An Automated Temporal Partitioning and Loop Fission Approach for FPGA Based Reconfigurable Synthesis of DSP Applications.
616-622
1999
DAC
http://doi.acm.org/10.1145/309847.310010
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KaulVGO99
2002-12-16
Strip Layout: A New Layout Methodology for Standard Circuit Modules.
363-369
1987
DAC
http://doi.acm.org/10.1145/37888.37943
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#ApteK87
2002-12-16
Reflections of High Speed Signals Analyzed as a Delay in Timing for Clocked Logic.
133-139
1987
DAC
http://doi.acm.org/10.1145/37888.37908
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#CanrightH87
2002-12-16
Analysis of placement procedures for VLSI standard cell layout.
314-319
1986
DAC
http://doi.acm.org/10.1145/318013.318062
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Hartoog86
2006-03-14
DECOMPOSER: A Synthesizer for Systolic Systems.
650-653
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285840
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#HouOI88
2002-12-16
Delay Analysis of the Distributed RC Line.
370-375
1995
DAC
http://doi.acm.org/10.1145/217474.217557
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Rao95
2002-12-16
Exploiting Intellectual Properties in ASIP Designs for Embedded DSP Software.
939-944
1999
DAC
http://doi.acm.org/10.1145/309847.310103
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ChoiYLPK99
2002-12-16
Behavioral Fault Simulation in VHDL.
587-593
1990
DAC
http://doi.acm.org/10.1145/123186.123411
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#WardA90
2002-12-16
Fingerprinting intellectual property using constraint-addition.
587-592
2000
DAC
http://doi.acm.org/10.1145/337292.337586
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#QuP00
2006-03-14
The Automatic Generation of Bus-Interface Models.
634-637
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149649
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeongB92
2002-12-16
Model-Reduction of Nonlinear Circuits Using Krylov-Space Techniques.
13-16
1999
DAC
http://doi.acm.org/10.1145/309847.309854
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GunupudiN99
2002-12-16
HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery.
379-384
2002
DAC
http://doi.acm.org/10.1145/513918.514017
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#CaoLCC02
2002-12-16
Using Symbolic Algebra in Algorithmic Level DSP Synthesis.
277-282
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/18_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#PeymandoustM01
2002-12-16
An object-oriented visual simulator for microprogram development.
138-144
1986
DAC
http://doi.acm.org/10.1145/318013.318035
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#SugimotoAKK86
2002-12-16
Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach.
343-348
1996
DAC
http://doi.acm.org/10.1145/240518.240583
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SrivastavaP96
2006-04-19
A Parallel Precorrected FFT Based Capacitance Extraction Program for Signal Integrity Analysis.
363-366
1996
DAC
http://doi.acm.org/10.1145/240518.240587
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#AluruNW96
2006-03-14
Exact Calculation of Synchronization Sequences Based on Binary Decision Diagrams.
620-623
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149645
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#PixleyJH92
2002-12-16
Layout-aware synthesis of arithmetic circuits.
207-212
2002
DAC
http://doi.acm.org/10.1145/513918.513971
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#UmK02
2002-12-16
<i><sub>th</sub></i>(MVT) CMOS Circuit Design Methodology for Low Power Applications.
430-435
1999
DAC
http://doi.acm.org/10.1145/309847.309974
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#WeiCRYD99
2002-12-16
Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuits.
498-503
2002
DAC
http://doi.acm.org/10.1145/513918.514045
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Perrott02
2002-12-16
Micro Architecture Coverage Directed Generation of Test Programs.
175-180
1999
DAC
http://doi.acm.org/10.1145/309847.309909
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#UrY99
2002-12-16
A frame based system for representing knowledge about VLSI design: a proposal.
671-676
1986
DAC
http://doi.acm.org/10.1145/318013.318137
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#AdolphRS86
2002-12-16
Technology Mapping for Electrically Programmable Gate Arrays.
234-239
1991
DAC
http://doi.acm.org/10.1145/127601.127671
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ErcolaniM91
2002-12-16
On Yield Consideration for the Design of Redundant Programmable Logic Arrays.
622-628
1987
DAC
http://doi.acm.org/10.1145/37888.37986
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Wey87
2002-12-16
Improving the Efficiency of Power Simulators by Input Vector Compaction.
165-168
1996
DAC
http://doi.acm.org/10.1145/240518.240549
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#TsuiMMP96
2004-08-25
Energy estimation and optimization of embedded VLIW processors based on instruction clustering.
886-891
2002
DAC
http://doi.acm.org/10.1145/513918.514137
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BonaSSZSZ02
2002-12-16
The future of system design languages (panel session).
438-439
2000
DAC
http://doi.acm.org/10.1145/337292.337533
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GoeringCSDSKL00
2002-12-16
Equation-Based Behavioral Model Generation for Nonlinear Analog Circuits.
236-239
1996
DAC
http://doi.acm.org/10.1145/240518.240562
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BorchersHB96
2002-12-16
Global Hardware Synthesis from Behavioral Dataflow Descriptions.
456-461
1990
DAC
http://doi.acm.org/10.1145/123186.123337
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ScheichenzuberGLM90
2002-12-16
A Global, Dynamic Register Allocation and Binding for a Data Path Synthesis System.
505-510
1990
DAC
http://doi.acm.org/10.1145/123186.123384
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Woo90
2002-12-16
A Heuristic Method for FPGA Technology Mapping Based on the Edge Visibility.
248-251
1991
DAC
http://doi.acm.org/10.1145/127601.127675
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Woo91
2002-12-16
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution.
491-496
1995
DAC
http://doi.acm.org/10.1145/217474.217576
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#XiD95
2002-12-16
Useful-Skew Clock Routing With Gate Sizing for Low Power Design.
383-388
1996
DAC
http://doi.acm.org/10.1145/240518.240592
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#XiD96
2002-12-16
A Probabilistic Testability Measure for Delay Faults.
440-445
1991
DAC
http://doi.acm.org/10.1145/127601.127709
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WuL91
2002-12-16
Register Minimization beyond Sharing among Variables.
164-169
1995
DAC
http://doi.acm.org/10.1145/217474.217524
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#WuL95
2002-12-16
Orthogonal Greedy Coupling - A New Optimization Approach to 2-D FPGA Routing.
568-573
1995
DAC
http://doi.acm.org/10.1145/217474.217591
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#WuM95
2002-12-16
Delay Test Effectiveness Evaluation of LSSD-Based VLSI Vogic Circuits.
291-295
1991
DAC
http://doi.acm.org/10.1145/127601.127683
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WuR91
2002-12-16
An Algorithm for Bi-Decomposition of Logic Functions.
103-108
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/08_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MishchenkoSP01
2002-12-16
Evaluation of Parts by Mixed-Level DC-Connected Components in Logic Simulation.
367-372
1993
DAC
http://doi.acm.org/10.1145/157485.164934
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#YuanPR93
2002-12-16
A Graph-Based Synthesis Algorithm for AND/XOR Networks.
107-112
1997
DAC
http://doi.acm.org/10.1145/266021.266044
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#YeR97
2002-12-16
Checking Equivalence for Partial Implementations.
238-243
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/15_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SchollB01
2006-03-14
Maximum Current Estimation in CMOS Circuits.
2-7
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113937
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KriplaniNH92
2006-02-10
A new enhanced constructive decomposition and mapping algorithm.
143-148
2003
DAC
http://doi.acm.org/10.1145/775832.775871
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MishchenkoWK03
2002-12-16
A Verification Technique for Gated Clock.
123-127
1993
DAC
http://doi.acm.org/10.1145/157485.164624
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KawarabayashiSS93
2002-12-16
Statistical Estimation of Average Power Dissipation in Sequential Circuits.
377-382
1997
DAC
http://doi.acm.org/10.1145/266021.266175
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#YuanTK97
2006-03-14
Functional Approaches to Generating Orderings for Efficient Symbolic Representations.
624-627
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149646
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#MercerKR92
2002-12-16
An ECL Logic Synthesis System.
106-111
1991
DAC
http://doi.acm.org/10.1145/127601.127637
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MorganG91
2006-02-10
Vector potential equivalent circuit based on PEEC inversion.
718-723
2003
DAC
http://doi.acm.org/10.1145/775832.776016
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#YuH03
2006-03-14
A Path-Oriented Approach for Reducing Hazards in Asynchronous Designs.
239-244
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149423
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#YuS92
2002-12-16
The Attributed-Behavior Abstraction and Synthesis Tools.
557-561
1994
DAC
http://doi.acm.org/10.1145/196244.196552
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ArnsteinT94
2002-12-16
Timing Verification by Formal Signal Interaction Modeling in a Multi-level Timing Simulator.
668-673
1989
DAC
http://doi.acm.org/10.1145/74382.74500
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BenkoskiS89
2002-12-16
The Role of Timing Verification in Layout Synthesis.
612-619
1991
DAC
http://doi.acm.org/10.1145/127601.122895
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BenkoskiS91
2002-12-16
PESDA and Design Abstraction: How High is Up? (Panel).
188
1994
DAC
http://doi.acm.org/10.1145/196244.196335
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BunzaSJSMF94
2002-12-16
A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs.
7-12
1989
DAC
http://doi.acm.org/10.1145/74382.74385
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#PotkonjackR89
2002-12-16
Embedded systems design in the new millennium (panel session).
338-339
2000
DAC
http://doi.acm.org/10.1145/337292.337434
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#NewtonRMSB00
2002-12-16
Verification of Electronic Systems.
106-111
1996
DAC
http://doi.acm.org/10.1145/240518.240539
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Sangiovanni-VincentelliMS96
2002-12-16
A Two-State Methodology for RTL Logic Simulation.
672-677
1999
DAC
http://doi.acm.org/10.1145/309847.310024
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Bening99
2006-03-14
Multipole-Accelerated 3-D Capacitance Extraction Algorithms for Structures with Conformal Dielectrics.
710-715
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149686
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#NaborsW92
2002-12-16
PRIMO: Probability Interpretation of Moments for Delay Calculation.
463-468
1998
DAC
http://doi.acm.org/10.1145/277044.277172
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KayP98
2002-12-16
Life Expectancy of Standards (Panel Abstract).
284
1993
DAC
http://doi.acm.org/10.1145/157485.164893
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Pollock93
2002-12-16
Women in the Microelectronics Industry (Panel Abstract).
58
1990
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Michel90
2002-12-16
Intellectual Property Protection in the EDA Industry.
161-163
1994
DAC
http://doi.acm.org/10.1145/196244.196320
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Fernandez94
2006-02-10
Designing and implementing small quantum circuits and algorithms.
894-899
2003
DAC
http://doi.acm.org/10.1145/775832.776057
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Travaglione03
2002-12-16
Crosstalk Minimization Using Wire Perturbations.
100-103
1999
DAC
http://doi.acm.org/10.1145/309847.309887
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#SaxenaL99
2006-03-14
Why it doesn't work for CAD (Panel Abstract).
297
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149453
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Potter92
2002-12-16
Special Purpose Architecture for Accelerating Bitmap DRC.
674-677
1989
DAC
http://doi.acm.org/10.1145/74382.74501
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BhatN89
2002-12-16
Placement for Clock Period Minimization With Multiple Wave Propagation.
640-643
1991
DAC
http://doi.acm.org/10.1145/127601.127742
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#JoyC91
2002-12-16
Design for Manufacturability and Yield.
454-459
1989
DAC
http://doi.acm.org/10.1145/74382.74458
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Strojwas89
2002-12-16
Methodology for Behavioral Synthesis-Based Algorithm-Level Design Space Exploration: DCT Case Study.
252-257
1997
DAC
http://doi.acm.org/10.1145/266021.266086
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#PotkonjakKK97
2002-12-16
InSyn: Integrated Scheduling for DSP Applications.
349-354
1993
DAC
http://doi.acm.org/10.1145/157485.164926
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SharmaJ93
2002-12-16
Efficient Substitution of Multiple Constant Multiplications by Shifts and Additions Using Iterative Pairwise Matching.
189-194
1994
DAC
http://doi.acm.org/10.1145/196244.196343
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#PotkonjakSC94
2002-12-16
Cost Minimization of Partitions into Multiple Devices.
315-320
1993
DAC
http://doi.acm.org/10.1145/157485.164910
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KuznarBK93
2002-12-16
Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect.
238-243
1994
DAC
http://doi.acm.org/10.1145/196244.196364
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KuznarBZ94
2002-12-16
Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer.
76-81
1998
DAC
http://doi.acm.org/10.1145/277044.277059
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#SilvaYMCWJCVSM98
2002-12-16
Floorplanning with Abutment Constraints and L-Shaped/T-Shaped Blocks based on Corner Block List.
770-775
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/45_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MaHDCCG01
2002-12-16
Evaluating Hardware Models in DIGITAL's System Simulation Environment.
642-644
1989
DAC
http://doi.acm.org/10.1145/74382.74495
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#George89
2002-12-16
A Unified Approach to Multilayer Over-the-Cell Routing.
182-187
1994
DAC
http://doi.acm.org/10.1145/196244.196332
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#MadhwapathySBP94
2002-12-16
Parallel Algorithms for Power Estimation.
672-677
1998
DAC
http://doi.acm.org/10.1145/277044.277215
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KimB98
2002-12-16
Power-conscious High Level Synthesis Using Loop Folding.
441-445
1997
DAC
http://doi.acm.org/10.1145/266021.266194
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KimC97
2006-05-24
An Efficient Transistor Folding Algorithm for Row-Based CMOS Layout Design.
456-459
1997
DAC
http://doi.acm.org/10.1145/266021.266199
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KimK97
2002-12-16
Utilization of Multiport Memories in Data Path Synthesis.
298-302
1993
DAC
http://doi.acm.org/10.1145/157485.164900
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KimL93
2002-12-16
A Framework for Object Oriented Hardware Specification, Verification, and Synthesis.
413-418
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/25_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KuhnOWREK01
2002-12-16
Unlocking the design secrets of a 2.29 Gb/s Rijndael processor.
634-639
2002
DAC
http://doi.acm.org/10.1145/513918.514079
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SchaumontKV02
2002-12-16
Detecting False Timing Paths: Experiments on PowerPC Microprocessors.
737-741
1999
DAC
http://doi.acm.org/10.1145/309847.310047
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#RaimiA99
2002-12-16
A C-Based RTL Design Verification Methodology for Complex Microprocessor.
83-88
1997
DAC
http://doi.acm.org/10.1145/266021.266040
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#YimHPCYOPK97
2002-12-16
Automatic Synthesis of Pipeline Structures with Variable Data Initiation Intervals.
537-541
1994
DAC
http://doi.acm.org/10.1145/196244.196528
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#JunH94
2002-12-16
Formal verification of superscale microprocessors with multicycle functional units, exception, and branch prediction.
112-117
2000
DAC
http://doi.acm.org/10.1145/337292.337331
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#VelevB00
2002-12-16
Effective Use of Boolean Satisfiability Procedures in the Formal Verification of Superscalar and VLIW Microprocessors.
226-231
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/15_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#VelevB01
2002-12-16
Exploiting Positive Equality and Partial Non-Consistency in the Formal Verification of Pipelined Microprocessors.
397-401
1999
DAC
http://doi.acm.org/10.1145/309847.309967
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#VelevB99
2002-12-16
On Synthesizing and Identifying Stuck-Open Testable CMOS Combinational Circuits (extended abstract).
736-739
1990
DAC
http://doi.acm.org/10.1145/123186.123456
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Chakravarty90
2002-12-16
A General Method for Compiling Event-Driven Simulations.
151-156
1995
DAC
http://doi.acm.org/10.1145/217474.217522
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#FrenchLLO95
2002-12-16
High-Performance Routing Trees With Identified Critical Sinks.
182-187
1993
DAC
http://doi.acm.org/10.1145/157485.164662
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#BoeseKR93
2003-11-20
A Framework for User Assisted Design Space Exploration.
414-419
1999
DAC
http://doi.acm.org/10.1145/309847.309970
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HuGRQ99
2002-12-16
Instruction-Level DFT for Testing Processor and IP Cores in System-on-a-Chip.
59-64
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/05_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LaiC01
2006-03-14
Edge-Valued Binary Decision Diagrams for Multi-Level Hierarchical Verification.
608-613
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149642
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LaiS92
2006-03-14
Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation.
173-176
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110359
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SaldanhaBS92
2002-12-16
Maze routing with buffer insertion and wiresizing.
374-378
2000
DAC
http://doi.acm.org/10.1145/337292.337500
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LaiW00
2002-12-16
Panel: Your Core - My Problem? Integration and Verification of IP.
170-171
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/11_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MorettiHNCKABDF01
2006-03-14
Hardware Logic Simulation by Compilation.
712-716
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285853
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Hansen88
2006-03-14
Performance of a New Annealing Schedule.
306-311
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285780
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#LamD88
2002-12-16
An Integrated Design Environment for Performance and Dependability Analysis.
184-189
1997
DAC
http://doi.acm.org/10.1145/266021.266061
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KlenkeMAJRG97
2002-12-16
Information Modelling of EDIF.
278-283
1993
DAC
http://doi.acm.org/10.1145/157485.164892
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LauK93
2006-02-10
Fractional-N frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm.
526-531
2003
DAC
http://doi.acm.org/10.1145/775832.775966
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LauP03
2006-03-14
Algorithm for Vectorizing Logic Simulation and Evaluation of "VELVET" Performance.
231-236
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285768
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#KazamaKNM88
2002-12-16
HW/SW CoVerification Performance Estimation and Benchmark for a 24 Embedded RISC Core Design.
808-811
1998
DAC
http://doi.acm.org/10.1145/277044.277250
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#AlbrechtNR98
2002-12-16
Module Compaction in FPGA-based Regular Datapaths.
471-476
1996
DAC
http://doi.acm.org/10.1145/240518.240608
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Koch96
2002-12-16
Statistical Delay Modeling in Logic Design and Synthesis.
126-130
1994
DAC
http://doi.acm.org/10.1145/196244.196304
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#JyuM94
2006-02-10
Static leakage reduction through simultaneous threshold voltage and state assignment.
191-194
2003
DAC
http://doi.acm.org/10.1145/775832.775881
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LeeB03
2002-12-16
SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits.
660-666
1990
DAC
http://doi.acm.org/10.1145/123186.123432
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#LeeH90
2006-03-14
HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits.
336-340
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149481
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeH92
2006-03-14
Two New Techniques for Compiled Multi-Delay Logic Simulation.
420-423
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149555
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeM92
2002-12-16
Timed compiled-code simulation of embedded software for performance analysis of SOC design.
293-298
2002
DAC
http://doi.acm.org/10.1145/513918.513994
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LeeP02
2006-03-14
Hierarchical Test Generation under Intensive Global Functional Constraints.
261-266
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149433
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeP92
2006-03-14
AWEsymbolic: Compiled Analysis of Linear(ized) Circuits using Asymptotic Waveform Evaluation.
213-218
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149409
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeR92
2002-12-16
Run-time voltage hopping for low-power real-time systems.
806-809
2000
DAC
http://doi.acm.org/10.1145/337292.337785
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LeeS00
2002-12-16
Pre-silicon Verification of the Alpha 21364 Microprocessor Error Handling System.
822-827
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/48_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LeeT01
2002-12-16
An Algorithm for Incremental Timing Analysis.
696-701
1995
DAC
http://doi.acm.org/10.1145/217474.217613
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LeeT95
2006-05-31
A Performance and Routability Driven Router for FPGAs Considering Path Delays.
557-561
1995
DAC
http://doi.acm.org/10.1145/217474.217588
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LeeW95
2006-06-20
A Constraint Based Approach to Automatic Design of Analog Cells.
506-509
1991
DAC
http://doi.acm.org/10.1145/127601.127722
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DonzelleDHPS91
2002-12-16
Designing High Performance CMOS Microprocessors Using Full Custom Techniques.
722-727
1997
DAC
http://doi.acm.org/10.1145/266021.266353
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GrundmannDAR97
2002-12-16
A New Hypergraph Based Rip-Up and Reroute Strategy.
54-59
1991
DAC
http://doi.acm.org/10.1145/127601.127628
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#RaithB91
2006-02-10
Accurate timing analysis by modeling caches, speculation and their interaction.
466-471
2003
DAC
http://doi.acm.org/10.1145/775832.775953
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LiMR03
2006-02-10
A timing-accurate modeling and simulation environment for networked embedded systems.
42-47
2003
DAC
http://doi.acm.org/10.1145/775832.775846
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#FummiPGPMR03
2002-12-16
Control Optimization Based on Resynchronization of Operations.
366-371
1991
DAC
http://doi.acm.org/10.1145/127601.127696
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#KuFM91
2006-03-14
An Interpreter for General Netlist Design Rule Checking.
305-310
1992
DAC
http://doi.acm.org/10.1145/113938.149465
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Peltz92
2006-03-14
On Path Selection in Combinational Logic Circuits.
142-147
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285753
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#LiRS88
2006-02-10
Scalable modeling and optimization of mode transitions based on decoupled power management architecture.
119-124
2003
DAC
http://doi.acm.org/10.1145/775832.775866
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LiXC03
2006-03-14
Delay Fault Models and Test Generation for Random Logic Sequential Circuits.
165-172
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.117295
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChakrabortyAB92
2002-12-16
Design for Testability for Path Delay faults in Sequential Circuits.
453-457
1993
DAC
http://doi.acm.org/10.1145/157485.164973
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChakrabortyAB93
2002-12-16
An Efficient Lyapunov Equation-Based Approach for Generating Reduced-Order Models of Interconnect.
1-6
1999
DAC
http://doi.acm.org/10.1145/309847.309848
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LiWW99
2002-12-16
Symbolic Model Checking Using SAT Procedures instead of BDDs.
317-320
1999
DAC
http://doi.acm.org/10.1145/309847.309942
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BiereCCFZ99
2002-12-16
The DT-Model: High-Level Synthesis Using Data Transfers.
114-117
1998
DAC
http://doi.acm.org/10.1145/277044.277069
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#TarafdarL98
2006-03-14
AWESpice: A General Tool for the Accurate and Efficient Simulation of Interconnect Problems.
87-92
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110425
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RaghavanBR92
2002-12-16
Electronic Component Information Exchange (ECIX).
559-563
1997
DAC
http://doi.acm.org/10.1145/266021.266285
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Cottrell97
2002-12-16
Accelerating Concurrent Hardware Design with Behavioural Modelling and System Simulation.
528-533
1995
DAC
http://doi.acm.org/10.1145/217474.217582
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SilburtPBNDW95
2002-12-16
Formal Verification of a Superscalar Execution Unit.
161-166
1997
DAC
http://doi.acm.org/10.1145/266021.266055
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#NelsonJB97
2002-12-16
Minplex - a compactor that minimizes the bounding rectangle and individual rectangles in a layout.
123-130
1986
DAC
http://doi.acm.org/10.1145/318013.318033
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#LinA86
2002-12-16
TCG: A Transitive Closure Graph-Based Representation for Non-Slicing Floorplans.
764-769
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/45_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LinC01
2002-12-16
<sup>*</sup>-admissible representations for general floorplans.
842-847
2002
DAC
http://doi.acm.org/10.1145/513918.514127
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LinC02
2002-12-16
Performance-Driven Constructive Placement.
103-106
1990
DAC
http://doi.acm.org/10.1145/123186.123235
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#LinD90
2002-12-16
LES: A Layout Expert System.
672-678
1987
DAC
http://doi.acm.org/10.1145/37888.37996
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LinG87
2006-03-14
Automatic Functional Test Program Generation for Microprocessors.
605-608
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285830
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#LinH88
2006-03-14
Transient Simulation of Lossy Interconnect.
81-86
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110426
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LinK92
2002-12-16
Automatic Synthesis of Asynchronous Circuits.
296-301
1991
DAC
http://doi.acm.org/10.1145/127601.127684
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LinL91
2002-12-16
KAHLUA: A Hierarchical Circuit Disassembler.
311-317
1987
DAC
http://doi.acm.org/10.1145/37888.37935
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LinN87
2006-03-14
Fuzzy Logic Approach to Placement Problem.
153-158
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.119626
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LinS92
2006-02-10
Test generation for designs with multiple clocks.
662-667
2003
DAC
http://doi.acm.org/10.1145/775832.776000
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LinT03
2005-06-20
Executive Perspective and Vision of the Future of EDA (Panel).
48
1994
DAC
http://doi.acm.org/10.1145/196244.196269
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#CostelloRGHFCC94
2002-12-16
VHDL Synthesis Using Structured Modeling.
606-609
1989
DAC
http://doi.acm.org/10.1145/74382.74486
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LisG89
2002-12-16
Extending Moment Computation to 2-Port Circuit Representations.
473-476
1998
DAC
http://doi.acm.org/10.1145/277044.277176
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LiuC98
2002-12-16
Critical Path Minimization Using Retiming and Algebraic Speed-Up.
573-577
1993
DAC
http://doi.acm.org/10.1145/157485.165046
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#IqbalPDP93
2002-12-16
Multi Chip Modules.
389-393
1989
DAC
http://doi.acm.org/10.1145/74382.74447
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BruceMH89
2002-12-16
Design of a high-throughput low-power IS95 Viterbi decoder.
263-268
2002
DAC
http://doi.acm.org/10.1145/513918.513988
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LiuP02
2002-12-16
A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design.
446-451
1999
DAC
http://doi.acm.org/10.1145/309847.309977
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HashimotoOT99
2002-12-16
Extension of the Critical Path Tracing Algorithm.
720-723
1990
DAC
http://doi.acm.org/10.1145/123186.123450
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#RamakrishnanK90
2002-12-16
Planning for Performance.
122-127
1998
DAC
http://doi.acm.org/10.1145/277044.277071
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#OttenB98
2002-12-16
Generating essential primes for a Boolean function with multiple-valued inputs.
193-199
1986
DAC
http://doi.acm.org/10.1145/318013.318044
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#KuoC86
2002-12-16
A Network Flow Approach for Hierarchical Tree Partitioning.
512-517
1997
DAC
http://doi.acm.org/10.1145/266021.266269
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KuoC97
2002-12-16
Efficient spare allocation in reconfigurable arrays.
385-390
1986
DAC
http://doi.acm.org/10.1145/318013.318075
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#KuoF86
2006-03-14
Spare Allocation and Reconfiguration in Large Area VLSI.
609-612
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285831
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#KuoF88
2002-12-16
A Fast Fanout Optimization Algorithm for Near-Continuous Buffer Libraries.
352-355
1998
DAC
http://doi.acm.org/10.1145/277044.277143
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Kung98
2006-02-10
An algebraic multigrid solver for analytical placement with layout based clustering.
794-799
2003
DAC
http://doi.acm.org/10.1145/775832.776034
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChenCCKMSYZ03
2006-03-14
Which ASIC Technology Will Dominate the 1990's (Panel Abstract).
200
1992
DAC
http://doi.acm.org/10.1145/113938.149399
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Collet92
2002-12-16
A Top-Down Design Environment for Developing Pipelined Datapaths.
236-241
1998
DAC
http://doi.acm.org/10.1145/277044.277105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#McGrawAK98
2002-12-16
Computer aided (CA) tools integration and related standards development (panel session).
372-373
1986
DAC
http://doi.acm.org/10.1145/318013.318072
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#PachterSWHAOSE86
2002-12-16
Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations.
96-99
1999
DAC
http://doi.acm.org/10.1145/309847.309885
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ZhouWLA99
2002-12-16
On Optimal Board-Level Routing for FPGA-Based Logic Emulation.
552-556
1995
DAC
http://doi.acm.org/10.1145/217474.217586
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MakW95
2003-07-16
Efficient Computation of Quasi-Periodic Circuit Operating Conditions via a Mixed Frequency/Time Approach.
635-640
1999
DAC
http://doi.acm.org/10.1145/309847.310015
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#FengPNKW99
2006-03-14
Dytest: A Self-Learning Algorithm Using Dynamic Testability Measures to Accelerate Test Generation.
591-596
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285827
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#MaoC88
2002-12-16
A Simplified Six-waveform Type Method for Delay Fault Testing.
730-733
1989
DAC
http://doi.acm.org/10.1145/74382.74515
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#MaoC89
2006-06-20
A Variable Observation Time Method for Testing Delay Faults.
728-731
1990
DAC
http://doi.acm.org/10.1145/123186.123454
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#MaoC90
2002-12-16
Correlation-Reduced Scan-path Design To Improve Delay Fault Coverage.
73-79
1991
DAC
http://doi.acm.org/10.1145/127601.127631
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MaoC91
2002-12-16
A case study of synthesis for industrial-scale analog IP: redesign of the equalizer/filter frontend for an ADSL CODEC.
1-6
2000
DAC
http://doi.acm.org/10.1145/337292.337297
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#PhelpsKRCH00
2002-12-16
Simultaneous Placement and Module Optimization of Analog IC's.
31-35
1994
DAC
http://doi.acm.org/10.1145/196244.196261
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#CharbonMPS94
2002-12-16
IC Design in High-Cost Nanometer-Technologies Era.
9-14
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/02_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Maly01
2002-12-16
Optimal order of the VLSI IC testing sequence.
560-566
1986
DAC
http://doi.acm.org/10.1145/318013.318103
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Maly86
2002-12-16
Realistic Fault Modeling for VLSI Testing.
173-180
1987
DAC
http://doi.acm.org/10.1145/37888.37914
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Maly87
2002-12-16
What is Design for Manufacturability (DFM)? (Panel Abstract).
252
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Maly91
2002-12-16
Cost of Silicon Viewed from VLSI Design Perspective.
135-142
1994
DAC
http://doi.acm.org/10.1145/196244.196311
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Maly94
2006-03-14
Distributed Design-Space Exploration for High-Level Synthesis Systems.
644-650
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149651
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#DuttaRV92
2002-12-16
The VHDL Validation Suite.
2-7
1990
DAC
http://doi.acm.org/10.1145/123186.123190
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ArmstrongCSK90
2002-12-16
Potential-Driven Statistical Ordering of Transformations.
347-352
1997
DAC
http://doi.acm.org/10.1145/266021.266161
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#HongKP97
2006-03-14
BECOME: Behavior Level Circuit Synthesis Based on Structure Mapping.
409-414
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285796
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WeiRJ88
2002-12-16
A New Min-Cut Placement Algorithm for Timing Assurance Layout Design Meeting Net Length Constraint.
96-102
1990
DAC
http://doi.acm.org/10.1145/123186.123234
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#TeraiTS90
2004-10-07
Influence of compiler optimizations on system power.
304-307
2000
DAC
http://doi.acm.org/10.1145/337292.337425
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KandemirVIY00
2004-12-09
Code Optimization Techniques for Embedded DSP Microprocessors.
599-604
1995
DAC
http://doi.acm.org/10.1145/217474.217596
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LiaoDKTW95
2002-12-16
Common-Case Computation: A High-Level Technique for Power and Performance Optimization.
56-61
1999
DAC
http://doi.acm.org/10.1145/309847.309867
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LakshminarayanaRKJD99
2002-12-16
Transformations for the Synthesis and Optimization of Asynchronous Distributed Control.
263-268
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/18_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#TheobaldN01
2006-02-10
Gain-based technology mapping for discrete-size cell libraries.
574-579
2003
DAC
http://doi.acm.org/10.1145/775832.775979
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HuWKM03
2002-12-16
Constrained via Minimization with Practical Considerations for Multi-Layer VLSI/PCB Routing Problems.
60-65
1991
DAC
http://doi.acm.org/10.1145/127601.127629
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#FangCFC91
2002-12-16
Testability of Asynchronous Timed Control Circuits with Delay Assumptions.
446-451
1991
DAC
http://doi.acm.org/10.1145/127601.127710
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BeerelM91
2002-12-16
Functional Verification of MOS Circuits.
265-270
1987
DAC
http://doi.acm.org/10.1145/37888.37928
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Weise87
2002-12-16
Fast three-level logic minimization based on autosymmetry.
425-430
2002
DAC
http://doi.acm.org/10.1145/513918.514027
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BernasconiCLP02
2006-06-27
Combined BEM/FEM substrate resistance modeling.
771-776
2002
DAC
http://doi.acm.org/10.1145/513918.514111
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SchrikM02
2006-03-14
CAD Tool Needs for System Designers.
476
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286219
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Bryant88
2002-12-16
Symbolic Simulation - Techniques and Applications.
517-521
1990
DAC
http://doi.acm.org/10.1145/123186.128296
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Bryant90
2002-12-16
Bit-Level Analysis of an SRT Divider Circuit.
661-665
1996
DAC
http://doi.acm.org/10.1145/240518.240643
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Bryant96
2004-10-26
A design rule database system to support technology-adaptable applications.
510-516
1986
DAC
http://doi.acm.org/10.1145/318013.318094
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#AudeK86
2004-10-26
Representation and Use of Design Rules within a Technology Adaptable CAD System.
478-484
1989
DAC
http://doi.acm.org/10.1145/74382.74462
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#AudeK89
2006-05-05
Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances Associated with 3-D Interconnect Structures.
376-380
1995
DAC
http://doi.acm.org/10.1145/217474.217558
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SilveiraKW95
2002-12-16
Design and Implementation of a Scalable Encryption Processor with Embedded Variable DC/DC Converter.
855-860
1999
DAC
http://doi.acm.org/10.1145/309847.310087
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GoodmanCD99
2002-12-16
Customized Instruction-Sets for Embedded Processors.
253-257
1999
DAC
http://doi.acm.org/10.1145/309847.309923
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Fisher99
2002-12-16
Design and Synthesis of Array Structured Telecommunication Processing Applications.
486-491
1997
DAC
http://doi.acm.org/10.1145/266021.266209
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#MeyerST97
2002-12-16
Efficient Representation and Manipulation of Switching Functions Based on Ordered Kronecker Functional Decision Diagrams.
415-419
1994
DAC
http://doi.acm.org/10.1145/196244.196444
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#DrechslerSTBP94
2002-12-16
Using Articulation Nodes to Improve the Efficiency of Finite-Element based Resistance Extraction.
758-763
1996
DAC
http://doi.acm.org/10.1145/240518.240662
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#GenderenM96
2002-12-16
Timing model extraction of hierarchical blocks by graph reduction.
152-157
2002
DAC
http://doi.acm.org/10.1145/513918.513957
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MoonKB02
2002-12-16
HEAT: Hierarchical Energy Analysis Tool.
9-14
1996
DAC
http://doi.acm.org/10.1145/240518.240520
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SatyanarayanaP96
2002-12-16
Yield of VLSI circuits: myths vs. reality (panel).
234-235
1986
DAC
http://doi.acm.org/10.1145/318013.318050
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#StrojwasBBMS86
2002-12-16
An Analytic Optimization Technique for Placement of Macro-Cells.
376-381
1989
DAC
http://doi.acm.org/10.1145/74382.74445
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HerrigelF89
2002-12-16
PLAY: Pattern-Based Symbolic Cell Layout: Part I: Transistor Placement.
659-665
1987
DAC
http://doi.acm.org/10.1145/37888.37994
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LueM87
2002-12-16
Extracting Schematic-like Information from CMOS Circuit Net-lists.
690-693
1989
DAC
http://doi.acm.org/10.1145/74382.74505
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LueM89
2006-02-10
Force directed mongrel with physical net constraints.
214-219
2003
DAC
http://doi.acm.org/10.1145/775832.775888
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HurCRPCTH03
2002-12-16
3D Scheduling: High-Level Synthesis with Floorplanning.
668-673
1991
DAC
http://doi.acm.org/10.1145/127601.127748
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WengP91
2006-06-19
Multi-Stack Optimization for Data-Path Chip (Microprocessor) Layout.
110-115
1989
DAC
http://doi.acm.org/10.1145/74382.74402
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LukD89
2006-02-10
Improved indexing for cache miss reduction in embedded systems.
875-880
2003
DAC
http://doi.acm.org/10.1145/775832.776052
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Givargis03
2002-12-16
Battery-Aware Static Scheduling for Distributed Real-Time Embedded Systems.
444-449
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/28_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LuoJ01
2002-12-16
A Generalized Interconnect Model for Data Path Synthesis.
168-173
1990
DAC
http://doi.acm.org/10.1145/123186.123248
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#LyEG90
2002-12-16
On-chip inductance modeling and analysis.
63-68
2000
DAC
http://doi.acm.org/10.1145/337292.337313
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GalaZPYWB00
2002-12-16
Error Diagnosis for Transistor-Level Verification.
218-224
1994
DAC
http://doi.acm.org/10.1145/196244.196358
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KuehlmannCSL94
2006-03-14
Characterization of Boolean Functions for Rapid Matching in FPGA Technology Mapping.
374-379
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149496
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SchlichtmannBH92
2002-12-16
Iterative Wirability and Performance Improvement for FPGAs.
321-325
1993
DAC
http://doi.acm.org/10.1145/157485.164913
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#NagR93
2002-12-16
Performance-Driven Simultaneous Place and Route for Row-Based FPGAs.
301-307
1994
DAC
http://doi.acm.org/10.1145/196244.196387
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#NagR94
2006-03-14
A Digit-Serial Silicon Compiler.
646-649
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285839
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#HartleyC88
2002-12-16
Transition Density, A Stochastic Measure of Activity in Digital Circuits.
644-649
1991
DAC
http://doi.acm.org/10.1145/127601.127744
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Najm91
2002-12-16
Feedback, Correlation, and Delay Concerns in the Power Estimation of VLSI Circuits.
612-617
1995
DAC
http://doi.acm.org/10.1145/217474.217598
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Najm95
2002-12-16
Basic Concept of Cooperative Timing-driven Design Automation Technology for High-speed RISC Processor HARP-1.
262-269
1994
DAC
http://doi.acm.org/10.1145/196244.196371
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#TeraiGNSO94
2002-12-16
A Path Selection Global Router.
641-644
1987
DAC
http://doi.acm.org/10.1145/37888.37990
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#HsuPK87
2006-03-14
Incremental-in-time Algorithm for Digital Simulation.
501-505
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285811
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChoiHB88
2006-02-10
Energy-aware MPEG-4 FGS streaming.
912-915
2003
DAC
http://doi.acm.org/10.1145/775832.776061
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChoiKP03
2002-12-16
Scheduling Techniques to Enable Power Management.
349-352
1996
DAC
http://doi.acm.org/10.1145/240518.240584
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#MonteiroDAM96
2006-03-14
An Electrical Optimizer that Considers Physical Layout.
453-459
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285803
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ObermeierK88
2006-03-14
Net Partitions Yield Better Module Partitions.
47-52
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110434
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#CongHK92
2002-12-16
Technology Mapping for FPGAs with Nonuniform Pin Delays and Fast Interconnections.
373-378
1999
DAC
http://doi.acm.org/10.1145/309847.309963
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CongHX99
2002-12-16
Timed pattern generation for noise-on-delay calculation.
870-873
2002
DAC
http://doi.acm.org/10.1145/513918.514133
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChoiRD02
2002-12-16
Acyclic Multi-Way Partitioning of Boolean Networks.
670-675
1994
DAC
http://doi.acm.org/10.1145/196244.196609
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#CongLB94
2002-12-16
Table-Lookup Methods for Improved Performance-Driven Routing.
368-373
1998
DAC
http://doi.acm.org/10.1145/277044.277146
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LillisB98
2002-12-16
Timing Optimization for Multi-Source Nets: Characterization and Optimal Repeater Insertion.
214-219
1997
DAC
http://doi.acm.org/10.1145/266021.266069
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LillisC97
2002-12-16
Performance driven multi-level and multiway partitioning with retiming.
274-279
2000
DAC
http://doi.acm.org/10.1145/337292.337418
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CongLW00
2002-12-16
Simultaneous Circuit Partitioning/Clustering with Retiming for Performance Optimization.
460-465
1999
DAC
http://doi.acm.org/10.1145/309847.309980
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CongLW99
2002-12-16
Performance-Driven Interconnect Design Based on Distributed RC Delay Model.
606-611
1993
DAC
http://doi.acm.org/10.1145/157485.165065
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#CongLZ93
2002-12-16
General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design.
709-715
1990
DAC
http://doi.acm.org/10.1145/123186.123448
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#CongPL90
2006-02-10
Energy reduction techniques for multimedia applications with tolerance to deadline misses.
131-136
2003
DAC
http://doi.acm.org/10.1145/775832.775868
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HuaQB03
2002-12-16
Genetic List Scheduling Algorithm for Scheduling and Allocation on a Loosely Coupled Heterogeneous Multiprocessor System.
280-285
1999
DAC
http://doi.acm.org/10.1145/309847.309931
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Grajcar99
2005-05-17
A language for describing rectilinear Steiner tree configurations.
659-662
1986
DAC
http://doi.acm.org/10.1145/318013.318132
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#NgTR86
2006-03-14
Model Development and Verification for High Level Analog Blocks.
376-382
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285791
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#VisweswariahCC88
2002-12-16
A Methodology for Concurrent Fabrication Process/Cell Library Optimization.
825-830
1996
DAC
http://doi.acm.org/10.1145/240518.240673
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LokanathanBR96
2002-12-16
Design Space Exploration Algorithm for Heterogeneous Multi-Processor Embedded System Design.
82-87
1998
DAC
http://doi.acm.org/10.1145/277044.277060
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KarkowskiC98
2002-12-16
Retiming Synchronous Circuitry with Imprecise Delays.
322-326
1995
DAC
http://doi.acm.org/10.1145/217474.217549
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KarkowskiO95
2002-12-16
Switch Directed Dynamic Causal Networks - a Paradigm for Electronic System Diagnosis.
258-264
1987
DAC
http://doi.acm.org/10.1145/37888.37926
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#McDermottS87
2002-12-16
The Implementation of a State Machine Compiler.
580-583
1987
DAC
http://doi.acm.org/10.1145/37888.37978
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Kingsley87
2002-12-16
Design of Repairable and Fully Diagnosable Folded PLAs for Yield Enhancement.
327-332
1990
DAC
http://doi.acm.org/10.1145/123186.123295
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#WeyDC90
2006-09-05
On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices.
724-727
2003
DAC
http://doi.acm.org/10.1145/775832.776017
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#GorenZGWBALSTGPJSSDH03
2002-12-16
On fault modeling for dynamic MOS circuits.
540-546
1986
DAC
http://doi.acm.org/10.1145/318013.318100
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WunderlichR86
2002-12-16
Integrated placement/routing in sliced layouts.
300-307
1986
DAC
http://doi.acm.org/10.1145/318013.318060
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Szepieniec86
2002-12-16
A Power Estimation Framework for Designing Low Power Portable Video Applications.
421-424
1997
DAC
http://doi.acm.org/10.1145/266021.266186
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#TsuiCWDP97
2006-02-10
Reshaping EDA for power.
15
2003
DAC
http://doi.acm.org/10.1145/775832.775838
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RabaeySBBFHNSY03
2002-12-16
PIAF: A Knowledge-based/Algorithm Top-Down Floorplanning System.
582-585
1989
DAC
http://doi.acm.org/10.1145/74382.74480
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JabriS89
2002-12-16
Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs.
567-572
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/34_5.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#AjamiBPG01
2006-03-14
A Prolog-Based Connectivity Verification Tool.
523-527
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285815
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Papaspyrdis88
2002-12-16
An ASIC Methodology for Mixed Analog-Digital Simulation.
618-621
1989
DAC
http://doi.acm.org/10.1145/74382.74489
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#RumseyS89
2002-12-16
Transistor Size Optimization in the Tailor Layout System.
43-48
1989
DAC
http://doi.acm.org/10.1145/74382.74391
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Marple89
2002-12-16
A Hierarchy Preserving Hierarchical Compactor.
375-381
1990
DAC
http://doi.acm.org/10.1145/123186.123311
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Marple90
2006-03-14
Plane Parallel a Maze Router and Its Application to FPGAs.
691-697
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149679
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Palczewski92
2002-12-16
Computer aided design of long-haul optical transmission systems.
235
2002
DAC
http://doi.acm.org/10.1145/513918.513978
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MaloneyBM02
2006-03-14
A Graph Compaction Approach to Fault Simulation.
601-604
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285829
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#HarelK88
2006-02-10
Toward efficient static analysis of finite-precision effects in DSP applications via affine arithmetic modeling.
496-501
2003
DAC
http://doi.acm.org/10.1145/775832.775960
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#FangRPC03
2006-03-14
IPDA: Interconnect Performance Design Assistant.
472-477
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149569
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChangCLLO92
2002-12-16
Automatic Generation of Assertions for Formal Verification of PowerPC Microprocessor Arrays Using Symbolic Trajectory Evaluation.
534-537
1998
DAC
http://doi.acm.org/10.1145/277044.277188
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#WangAK98
2005-04-26
Hierarchical Algorithms for Assessing Probabilistic Constraints on System Performance.
251-256
1998
DAC
http://doi.acm.org/10.1145/277044.277113
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#VecianaJG98
2002-12-16
Potential-NRG: Placement with Incomplete Data.
279-282
1998
DAC
http://doi.acm.org/10.1145/277044.277123
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#WangBS98
2002-12-16
A New Approach to Derive Robust Sets for Stuck-open Faults in CMOS Combinational Logic Circuits.
726-729
1989
DAC
http://doi.acm.org/10.1145/74382.74514
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#WangKL89
2002-12-16
Optimal two level partitioning and loop scheduling for hiding memory latency for DSP applications.
540-545
2000
DAC
http://doi.acm.org/10.1145/337292.337571
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#WangKS00
2002-12-16
A Framework for Collaborative and Distributed Web-Based Design.
898-903
1999
DAC
http://doi.acm.org/10.1145/309847.310095
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KonduriC99
2002-12-16
An Investigation of Power Delay Trade-Offs on PowerPC Circuits.
425-428
1997
DAC
http://doi.acm.org/10.1145/266021.266190
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#WangVG97
2002-12-16
Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips.
513-518
2000
DAC
http://doi.acm.org/10.1145/337292.337561
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LahiriRLD00
2002-12-16
On the Repair of Redundant RAMs.
710-713
1989
DAC
http://doi.acm.org/10.1145/74382.74510
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HemmadyR89
2002-12-16
High-Speed Interconnect Modeling and High-Accuracy Simulation Using SPICE and Finite Element Methods.
684-690
1993
DAC
http://doi.acm.org/10.1145/157485.165091
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChouCC93
2002-12-16
Analysis and Reliable Design of ECL Circuits with Distributed RLC Interconnections.
697-701
1993
DAC
http://doi.acm.org/10.1145/157485.165095
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HaqueC93
2002-12-16
Efficient OBDD-Based Boolean Manipulation in CAD beyond Current Limits.
408-413
1995
DAC
http://doi.acm.org/10.1145/217474.217563
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#BernMS95
2002-12-16
An Interconnect Energy Model Considering Coupling Effects.
555-558
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/34_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#UchinoC01
2002-12-16
HAL II: a mixed level hardware logic simulation system.
581-587
1986
DAC
http://doi.acm.org/10.1145/318013.318106
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#TakasakiSNIK86
2002-12-16
Transient Simulations of Three-Dimensional Integrated Circuit Interconnect Using a Mixed Surface-Volume Approach.
485-490
1995
DAC
http://doi.acm.org/10.1145/217474.217575
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ChouKW95
2006-03-14
Behavioral Modeling for System Design (panel).
196
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286217
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Blank88
2006-03-14
A Kernel-Finding State Assignment Algorithm for Multi-Level Logic.
433-438
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285800
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WolfKA88
2002-12-16
The Aurora RAM Compiler.
261-266
1995
DAC
http://doi.acm.org/10.1145/217474.217539
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ChandnaKBRS95
2002-12-16
The Object-Oriented Integration Methodology of the Cadlab Work Station Design Environment.
807-810
1989
DAC
http://doi.acm.org/10.1145/74382.74535
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#MillerGSW89
2002-12-16
Associative caches in formal software timing analysis.
622-627
2002
DAC
http://doi.acm.org/10.1145/513918.514076
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#WolfSE02
2002-12-16
Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming.
401-404
1996
DAC
http://doi.acm.org/10.1145/240518.240595
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#OhPP96
2002-12-16
LISA - Machine Description Language for Cycle-Accurate Models of Programmable DSP Architectures.
933-938
1999
DAC
http://doi.acm.org/10.1145/309847.310101
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PeesHZM99
2002-12-16
Extraction of a High-level structural Representation from Circuit Descriptions with Applications to DFT/BIST.
345-356
1994
DAC
http://doi.acm.org/10.1145/196244.196417
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ParulkarBN94
2002-12-16
Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead.
395-401
1995
DAC
http://doi.acm.org/10.1145/217474.217561
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ParulkarGB95
2002-12-16
Lower Bounds on Test Resources for Scheduled Data Flow Graphs.
143-148
1996
DAC
http://doi.acm.org/10.1145/240518.240545
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ParulkarGB96
2002-12-16
Introducing Redundant Computations in a Behavior for Reducing BIST Resources.
548-553
1998
DAC
http://doi.acm.org/10.1145/277044.277191
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ParulkarGB98
2002-12-16
HSpeedEx: a high-speed extractor for substrate noise analysis in complex mixed signal SOC.
767-770
2002
DAC
http://doi.acm.org/10.1145/513918.514110
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KoukabDD02
2002-12-16
Low-Power Behavioral Synthesis Optimization Using Multiple Precision Arithmetic.
568-573
1999
DAC
http://doi.acm.org/10.1145/309847.310000
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ErcegovacKP99
2006-03-14
High-Level Synthesis with Pin Constraints for Multiple-Chip Designs.
231-234
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149415
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HungP92
2002-12-16
Lessons in Language Design: Cost/Benefit analysis of VHDL Features.
447-453
1994
DAC
http://doi.acm.org/10.1145/196244.196464
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#LeviaMR94
2002-12-16
Functional Verification Methodology of Chameleon Processor.
421-426
1996
DAC
http://doi.acm.org/10.1145/240518.240599
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#CasaubieilhMBBPRBEMBB96
2002-12-16
Using bottom-up design techniques in the synthesis of digital hardware from abstract behavioral descriptions.
474-480
1986
DAC
http://doi.acm.org/10.1145/318013.318089
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#McFarland86
2002-12-16
The Social Implications of Computerization: Making the Technology Humane.
129-134
1989
DAC
http://doi.acm.org/10.1145/74382.74405
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#McFarland89
2002-12-16
Intellectual Property (Panel Abstract).
186
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#McFarland91
2002-12-16
Military to Commercial Conversion: Is it Necessary, Is it Practical, Is it Possible? (Panel Abstract).
589
1993
DAC
http://doi.acm.org/10.1145/157485.165056
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#McFarland93
2002-12-16
A heuristic chip-level test generation algorithm.
257-262
1986
DAC
http://doi.acm.org/10.1145/318013.318054
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BarclayA86
2002-12-16
Design-for-testability of PLA's using statistical cooling.
339-345
1986
DAC
http://doi.acm.org/10.1145/318013.318066
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#LigthartAB86
2006-06-20
Computing Signal Delay in General RC Networks by Tree/Link Partitioning.
485-490
1989
DAC
http://doi.acm.org/10.1145/74382.74463
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#CahnK89
2002-12-16
Increasing Design Quality and Engineering Productivity through Design Reuse.
48-53
1993
DAC
http://doi.acm.org/10.1145/157485.164565
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#GirczycC93
2006-03-14
Automatic Test Knowledge Extraction from VHDL (ATKET).
273-278
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149442
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#VishakantaiahAA92
2002-12-16
STEM: An IC Design Environment Based on the Smalltalk Model-View-Controller Construct.
757-763
1987
DAC
http://doi.acm.org/10.1145/37888.38008
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#GirczycL87
2006-02-10
Emerging markets: design goes global.
195
2003
DAC
http://doi.acm.org/10.1145/775832.775883
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChanHPSMVW03
2006-02-10
On-chip logic minimization.
334-337
2003
DAC
http://doi.acm.org/10.1145/775832.775918
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LyseckyV03
2006-03-14
Fast Exact and Quasi-Minimal Minimization of Highly Testable Fixed-Polarity AND/XOR Canonical Networks.
30-35
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113930
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SarabiP92
2002-12-16
Partial Scan with Pre-selected Scan Signals.
189-194
1995
DAC
http://doi.acm.org/10.1145/217474.217528
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#PanL95
2002-12-16
Optimal Clock Period FPGA Technology Mapping for Sequential Circuits.
720-725
1996
DAC
http://doi.acm.org/10.1145/240518.240655
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#PanL96
2002-12-16
A Programming Environment for the Design of Complex High Speed ASICs.
315-320
1998
DAC
http://doi.acm.org/10.1145/277044.277135
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#SchaumontVREB98
2002-12-16
A Practical Methodology for Early Buffer and Wire Resource Allocation.
189-194
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/13_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#AlpertHSV01
2002-12-16
A New Complete Diagnosis Patterns for Wiring Interconnects.
203-208
1996
DAC
http://doi.acm.org/10.1145/240518.240556
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Park96
2002-12-16
Memory Estimation for High Level Synthesis.
143-148
1994
DAC
http://doi.acm.org/10.1145/196244.196313
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#VerbauwhedeSR94
2002-12-16
How to Write Awk and Perl Scripts to Enable Your EDA Tools to Work Together.
409-414
1996
DAC
http://doi.acm.org/10.1145/240518.240597
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HutchinsH96
2002-12-16
Optimal Ordering of Analog Integrated Circuit Tests to Minimize Test Time.
494-499
1991
DAC
http://doi.acm.org/10.1145/127601.127718
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#HussG91
2003-09-15
A Conceptual Framework for Designing ASIC Hardware.
592-595
1987
DAC
http://doi.acm.org/10.1145/37888.37981
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LeungS87
2002-12-16
A Hybrid Algorithm for Test Point Selection for Scan-Based BIST.
478-483
1997
DAC
http://doi.acm.org/10.1145/266021.266205
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#TsaiCLB97
2006-03-14
A Wire Length Estimation Technique Utilizing Neighborhood Density Equations.
57-61
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110431
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HamadaCC92
2002-12-16
<i>Prime</i>: A Timing-Driven Placement Tool using A Piecewise Linear Resistive Network Approach.
531-536
1993
DAC
http://doi.acm.org/10.1145/157485.165015
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HamadaCC93
2006-02-10
Delay and slew metrics using the lognormal distribution.
382-385
2003
DAC
http://doi.acm.org/10.1145/775832.775932
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AlpertLKD03
2006-02-10
Implications of technology scaling on leakage reduction techniques.
187-190
2003
DAC
http://doi.acm.org/10.1145/775832.775880
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#TsaiDVI03
2002-12-16
Synthesis of VLSI systems with the CAMAD design aid.
278-284
1986
DAC
http://doi.acm.org/10.1145/318013.318057
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Peng86
2002-12-16
Timing Driven Placement for Large Standard Cell Circuits.
211-215
1995
DAC
http://doi.acm.org/10.1145/217474.217531
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SwartzS95
2002-12-16
REX - A VLSI Parasitic Extraction Tool for Electromigration and Signal Analysis.
717-722
1991
DAC
http://doi.acm.org/10.1145/127601.127757
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Hwang91
2002-12-16
IP delivery for FPGAs using Applets and JHDL.
2-7
2002
DAC
http://doi.acm.org/10.1145/513918.513922
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#WirthlinM02
2002-12-16
Fast Identification of Robust Dependent Path Delay Faults.
119-125
1995
DAC
http://doi.acm.org/10.1145/217474.217517
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SparmannLCR95
2006-03-14
Automatic Building of Graphs for Rectangular Dualisation.
638-641
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285837
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Jabri88
2002-12-16
BREL - a Prolog Knowledge-based System Shell for VLSI CAD.
272-277
1990
DAC
http://doi.acm.org/10.1145/123186.123273
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Jabri90
2002-12-16
Functional Verification - Real Users, Real Problems, Real Opportunities (Panel).
260-261
1999
DAC
http://doi.acm.org/10.1145/309847.309925
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#McLeodAEGRR99
2002-12-16
STARBIST: Scan Autocorrelated Random Pattern Generation.
472-477
1997
DAC
http://doi.acm.org/10.1145/266021.266203
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#TsaiHRM97
2002-12-16
Predicting Timing Behavior in Architectural Design Exploration of Real-Time Embedded Systems.
157-160
1997
DAC
http://doi.acm.org/10.1145/266021.266054
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SambandamH97
2002-12-16
Power minimization derived from architectural-usage of VLIW processors.
308-311
2000
DAC
http://doi.acm.org/10.1145/337292.337426
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GebotysGW00
2002-12-16
Test Function Specification in Synthesis.
235-240
1990
DAC
http://doi.acm.org/10.1145/123186.123264
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#AgrawalC90
2002-12-16
Data-Flow Assisted Behavioral Partitioning for Embedded Systems.
709-712
1997
DAC
http://doi.acm.org/10.1145/266021.266347
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#AgrawalG97
2006-03-14
Vector Generation for Maximum Instantaneous Current Through Supply Lines for CMOS Circuits.
383-388
1997
DAC
http://doi.acm.org/10.1145/266021.266176
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KrsticC97
2006-02-10
A low-energy chip-set for wireless intercom.
916-919
2003
DAC
http://doi.acm.org/10.1145/775832.776062
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AmmerSKKR03
2002-12-16
Very Rapid Prototyping of Wearable Computers: A Case Study of Custom versus Off-the-Shelf Design Methodologies.
315-320
1997
DAC
http://doi.acm.org/10.1145/266021.266121
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SmailagicSMS97
2002-12-16
Efficient Analog Test Methodology Based on Adaptive Algorithms.
32-37
1998
DAC
http://doi.acm.org/10.1145/277044.277051
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CarroN98
2006-02-10
Seed encoding with LFSRs and cellular automata.
560-565
2003
DAC
http://doi.acm.org/10.1145/775832.775975
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Al-YamaniM03
2002-12-16
Mapping Switch-Level Simulation onto Gate-Level Hardware Accelerators.
219-222
1991
DAC
http://doi.acm.org/10.1145/127601.127668
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#JainB91
2004-05-28
Forensic engineering techniques for VLSI CAD tools.
581-586
2000
DAC
http://doi.acm.org/10.1145/337292.337584
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KirovskiLWP00
2006-08-24
Accelerated Transition Fault Simulation.
237-243
1987
DAC
http://doi.acm.org/10.1145/37888.37923
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#SchultzB87
2002-12-16
Reducing the Frequency Gap Between ASIC and Custom Designs: A Custom Perspective.
432-437
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/27_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#RichPS01
2002-12-16
Forward-looking objective functions: concept & applications in high level synthesis.
904-909
2002
DAC
http://doi.acm.org/10.1145/513918.514141
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#WongMP02
2006-02-10
Design techniques for sensor appliances: foundations and light compass case study.
66-71
2003
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#WongMP03
http://doi.acm.org/10.1145/775832.775851
2002-12-16
Parallel Pattern Fault Simulation of Path Delay Faults.
357-363
1989
DAC
http://doi.acm.org/10.1145/74382.74442
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SchulzFF89
2002-12-16
Logic Extraction and Factorization for Low Power.
248-253
1995
DAC
http://doi.acm.org/10.1145/217474.217537
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ImanP95
2002-12-16
POSE: Power Optimization and Synthesis Environment.
21-26
1996
DAC
http://doi.acm.org/10.1145/240518.240522
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ImanP96
2002-12-16
Speed up of Behavioral A.T.P.G. using a Heuristic Criterion.
92-96
1993
DAC
http://doi.acm.org/10.1145/157485.164587
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SantucciCG93
2002-12-16
Sequential Circuit Test Generation on a Distributed System.
107-111
1993
DAC
http://doi.acm.org/10.1145/157485.164762
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#AgrawalAV93
2006-03-14
Contest: A Concurrent Test Generator for Sequential Circuits.
84-89
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285743
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#AgrawalCA88
2002-12-16
On-Chip Inductance Issues in Multiconductor Systems.
921-926
1999
DAC
http://doi.acm.org/10.1145/309847.310099
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Morton99
2002-12-16
Data Security for Web-based CAD.
788-793
1998
DAC
http://doi.acm.org/10.1145/277044.277242
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HauckK98
2002-12-16
Minimal Delay Interconnect Design Using Alphabetic Trees.
392-396
1994
DAC
http://doi.acm.org/10.1145/196244.196432
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#VittalM94
2002-12-16
Driver Modeling and Alignment for Worst-Case Delay Noise.
720-725
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/43_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SirichotiyakulBOLZZ01
2002-12-16
Power Optimal Buffered Clock Tree Design.
497-502
1995
DAC
http://doi.acm.org/10.1145/217474.217577
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#VittalM95
2002-12-16
A monitor for complex CAD systems.
145-151
1986
DAC
http://doi.acm.org/10.1145/318013.318036
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Janni86
2002-12-16
Algorithms for Accuracy Enhancement in a Hardware Logic Simulator.
645-648
1989
DAC
http://doi.acm.org/10.1145/74382.74496
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#AgrawalTD89
2006-03-14
Automatic Layout Procedures for Serial Routing Devices.
642-645
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285838
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#OgawaTK88
2002-12-16
A Scalable Formal Verification Methodology for Pipelined Microprocessors.
558-563
1996
DAC
http://doi.acm.org/10.1145/240518.240624
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LevittO96
2006-02-10
Realizable parasitic reduction using generalized Y-Delta transformation.
220-225
2003
DAC
http://doi.acm.org/10.1145/775832.775890
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#QinC03
2006-02-10
Automated synthesis of efficient binary decoders for retargetable software toolkits.
764-769
2003
DAC
http://doi.acm.org/10.1145/775832.776027
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#QinM03
2006-02-10
Using a formal specification and a model checker to monitor and direct simulation.
356-361
2003
DAC
http://doi.acm.org/10.1145/775832.775926
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#TasiranYB03
2002-12-16
Enabling Alternating Phase Shifted Mask Designs for a Full Logic Gate Level: Design Rules and Design Rule Checking.
79-84
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/07_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LiebmannLHG01
2002-12-16
Comparing RTL and Behavioral Design Methodologies in the Case of a 2M-Transistor ATM Shaper.
598-603
1999
DAC
http://doi.acm.org/10.1145/309847.310006
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#MoussaSSDPCGJ99
2002-12-16
Dynamic Power Management Based on Continuous-Time Markov Decision Processes.
555-561
1999
DAC
http://doi.acm.org/10.1145/309847.309997
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#QiuP99
2002-12-16
A Negative Reinforcement Method for PGA Routing.
601-605
1993
DAC
http://doi.acm.org/10.1145/157485.165064
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LewisP93
2002-12-16
Template Style Considerations for Sea-of-Gates Layout Generation.
31-36
1989
DAC
http://doi.acm.org/10.1145/74382.74389
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#AdamsS89
2002-12-16
The Design of Mixed Hardware/Software Systems.
515-520
1996
DAC
http://doi.acm.org/10.1145/240518.240616
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#AdamsT96
2006-02-10
A 1.3GHz fifth generation SPARC64 microprocessor.
702-705
2003
DAC
http://doi.acm.org/10.1145/775832.776010
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AndoYISAMMMOYSKYS03
2002-12-16
Vanguard: a chip physical design system.
440-446
1986
DAC
http://doi.acm.org/10.1145/318013.318084
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#HaugeY86
2002-12-16
Energy exploration and reduction of SDRAM memory systems.
892-897
2002
DAC
http://doi.acm.org/10.1145/513918.514138
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#JooCSLKC02
2002-12-16
A System for Fault Diagnosis and Simulation of VHDL Descriptions.
144-150
1991
DAC
http://doi.acm.org/10.1145/127601.127647
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PitchumaniMR91
2006-02-10
Crosstalk noise in FPGAs.
944-949
2003
DAC
http://doi.acm.org/10.1145/775832.776069
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RanM03
2006-03-14
Partitioning by Regularity Extraction.
235-238
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149419
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RaoK92
2002-12-16
Power minimization using control generated clocks.
794-799
2000
DAC
http://doi.acm.org/10.1145/337292.337781
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#RaoN00
2002-12-16
Wire Segmenting for Improved Buffer Insertion.
588-593
1997
DAC
http://doi.acm.org/10.1145/266021.266291
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#AlpertD97
2002-12-16
Geometric Embeddings for Faster and Better Multi-Way Netlist Partitioning.
743-748
1993
DAC
http://doi.acm.org/10.1145/157485.165115
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#AlpertK93
2002-12-16
Multi-Way Partitioning Via Spacefilling curves and Dynamic Programming.
652-657
1994
DAC
http://doi.acm.org/10.1145/196244.196603
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#AlpertK94
2002-12-16
Spectral Partitioning: The More Eigenvectors, The Better.
195-200
1995
DAC
http://doi.acm.org/10.1145/217474.217529
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#AlpertY95
2002-12-16
Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques.
483-488
1998
DAC
http://doi.acm.org/10.1145/277044.277178
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#UsamiIIKTHATK98
2002-12-16
Model composition for scheduling analysis in platform design.
287-292
2002
DAC
http://doi.acm.org/10.1145/513918.513993
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#RichterZJE02
2002-12-16
Hierarchical dynamic router.
803-809
1986
DAC
http://doi.acm.org/10.1145/318013.318164
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#KawamuraUS86
2002-12-16
A Prototype Framework for Knowledge-Based Analog Circuit Synthesis.
42-49
1987
DAC
http://doi.acm.org/10.1145/37888.37894
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#HarjaniRC87
2002-12-16
Simultaneous Shield Insertion and Net Ordering under Explicit RLC Noise Constraint.
199-202
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/13_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LepakLH01
2002-12-16
A Technology Mapping Method Based On Perfect And Semi-Perfect Matchings.
93-98
1991
DAC
http://doi.acm.org/10.1145/127601.127634
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#CrastesSS91
2006-02-10
Synthesizing optimal filters for crosstalk-cancellation for high-speed buses.
592-597
2003
DAC
http://doi.acm.org/10.1145/775832.775982
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RenG03
2004-12-09
Area and search space control for technology mapping.
86-91
2000
DAC
http://doi.acm.org/10.1145/337292.337321
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#JongeneelWBO00
2002-12-16
Design of system-on-a-chip test access architectures under place-and-route and power constraints.
432-437
2000
DAC
http://doi.acm.org/10.1145/337292.337531
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Chakrabarty00
2002-12-16
Minimal Shift Counters and Frequency Division.
19-24
1993
DAC
http://doi.acm.org/10.1145/157485.164554
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Tokarnia93
2002-12-16
Electrical Debugging of Synchronous MOS VLSI Circuits Exploiting Analysis of the Intended Logic Behaviour.
513-518
1989
DAC
http://doi.acm.org/10.1145/74382.74468
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BolsensRCM89
2002-12-16
Rapid Prototyping of ASIC Based Systems.
460-465
1994
DAC
http://doi.acm.org/10.1145/196244.196468
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KellyPC94
2002-12-16
Optimization-Intensive Watermarking Techniques for Decision Problems.
33-36
1999
DAC
http://doi.acm.org/10.1145/309847.309860
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#QuWP99
2002-12-16
Efficient Sparse Matrix Factorization for Circuit Simulation on Vector Supercomputers.
13-18
1989
DAC
http://doi.acm.org/10.1145/74382.74386
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SadayappanV89
2006-03-14
Inductive Verification of Iterative Systems.
628-633
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149647
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RhoS92
2006-05-11
Systematic design of a 14-bit 150-MS/s CMOS current-steering D/A converter.
452-457
2000
DAC
http://doi.acm.org/10.1145/337292.337540
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#PlasVDBGS00
2002-12-16
Low-swing clock domino logic incorporating dual supply and dual threshold voltages.
467-472
2002
DAC
http://doi.acm.org/10.1145/513918.514036
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#JungKK02
2006-03-14
Representing Conditional Branches for High-Level Synthesis Applications.
106-111
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110381
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RimJ92
2006-03-14
Chip-Planning, Placement, and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing.
73-80
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285741
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Sechen88
2002-12-16
An Assigned Probability Technique to Derive Realistic Worst-Case Timing Models of Digital Standard Cells.
702-706
1995
DAC
http://doi.acm.org/10.1145/217474.217614
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#FabbroFCG95
2002-12-16
HW and SW in Embedded System Design: Loveboat, Shipwreck, or Ships Passing in the Night.
76-77
1999
DAC
http://doi.acm.org/10.1145/309847.309877
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CamposanoKFSL99
2002-12-16
Adoption of OPC and the Impact on Design and Layout.
89-92
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/07_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SchellenbergTCS01
2002-12-16
Model design using hierarchical web-based libraries.
14-17
2002
DAC
http://doi.acm.org/10.1145/513918.513924
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BernardiS02
2002-12-16
A Universal Client for Distributed Networked Design and Computing.
401-406
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/25_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BrglezL01
2002-12-16
Chain Closure: A Problem in Molecular CAD.
497-502
1994
DAC
http://doi.acm.org/10.1145/196244.196510
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BenedettoLSY94
2006-02-10
Coverage-oriented verification of banias.
280-285
2003
DAC
http://doi.acm.org/10.1145/775832.775906
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Gluska03
2002-12-16
Pseudorandom-Pattern Test Resistance in High-Performance DSP Datapaths.
813-818
1996
DAC
http://doi.acm.org/10.1145/240518.240671
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#GoodbyO96
2002-12-16
Frequency-Domain Compatibility in Digital Filter BIST.
540-545
1997
DAC
http://doi.acm.org/10.1145/266021.266278
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GoodbyO97
2002-12-16
Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm.
474-479
1995
DAC
http://doi.acm.org/10.1145/217474.217573
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#FeldmannF95
2002-12-16
The State of EDA in Russian Universities.
716-719
1993
DAC
http://doi.acm.org/10.1145/157485.165105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Mikhov93
2002-12-16
Taming Noise in Deep Submicron Digital Integrated Circuits (Panel).
100-101
1998
DAC
http://doi.acm.org/10.1145/277044.277064
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#NagarajSI98
2002-12-16
Tutorial on parallel processing for design automation applications (tutorial session).
69-77
1986
DAC
http://doi.acm.org/10.1145/318013.318025
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#HancockD86
2006-05-18
ELF: A Tool for Automatic Synthesis of Custom Physical CAD Software.
543-548
1989
DAC
http://doi.acm.org/10.1145/74382.74473
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SetliffR89
2006-03-14
LocusRoute: A Parallel Global Router for Standard Cells.
189-195
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285762
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Rose88
2002-12-16
Will the Field-Programmable Gata Array Replace the Mask-Programmable Gate Array? (Panel Abstract).
779
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Rose91
2002-12-16
Logic Emulation: A Niche or a Future Standard for Design Verification? (Panel Abstract).
164
1993
DAC
http://doi.acm.org/10.1145/157485.164649
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Rose93
2006-03-14
Future Developments in Information Technology (abstract).
1
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285731
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Ross88
2002-12-16
A Novel Approach to Accurate Timing Verification Using RTL Descriptions.
638-641
1989
DAC
http://doi.acm.org/10.1145/74382.74494
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#RoyA89
2002-12-16
Requirements for a Practical Software Engineering Environment.
67-73
1987
DAC
http://doi.acm.org/10.1145/37888.37898
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Masurkar87
2002-12-16
Efficient Implementation of a BDD Package.
40-45
1990
DAC
http://doi.acm.org/10.1145/123186.123222
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#BraceRB90
2005-01-12
SWITTEST: Automatic Switch-Level Fault Simulation and Test Evaluation of Switched-Capacitor Systems.
281-286
1997
DAC
http://doi.acm.org/10.1145/266021.266099
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#MirROPH97
2002-12-16
Optimization and Resynthesis of Complex Data-Paths.
637-641
1993
DAC
http://doi.acm.org/10.1145/157485.165075
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#EvekingH93
2002-12-16
On Computing the Transitive Closure of a State Transition Relation.
260-265
1993
DAC
http://doi.acm.org/10.1145/157485.164884
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#MatsunagaMB93
2002-12-16
A linear algorithm to find a rectangular dual of a planar triangulated graph.
108-114
1986
DAC
http://doi.acm.org/10.1145/318013.318031
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BhaskerS86
2006-03-14
Manufacturing Interface (Panel Abstract).
717
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149693
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Shaw92
2002-12-16
ATPG Based on a Novel Grid-Addressable Latch Element.
282-286
1991
DAC
http://doi.acm.org/10.1145/127601.127681
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChandraFGP91
2006-02-10
Hybrid hierarchical timing closure methodology for a high performance and low power DSP.
850-855
2003
DAC
http://doi.acm.org/10.1145/775832.776046
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ShiG03
2002-12-16
Linear Sifting of Decision Diagrams.
202-207
1997
DAC
http://doi.acm.org/10.1145/266021.266066
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#MeinelST97
2006-02-10
An O(nlogn) time algorithm for optimal buffer insertion.
580-585
2003
DAC
http://doi.acm.org/10.1145/775832.775980
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ShiL03
2002-12-16
Java Driven Codesign and Prototyping of Networked Embedded Systems.
794-797
1999
DAC
http://doi.acm.org/10.1145/309847.310068
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#FleischmannBK99
2002-12-16
Functional Test Generation for FSMs by Fault Extraction.
712-715
1994
DAC
http://doi.acm.org/10.1145/196244.196622
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#VinnakotaA94
2006-03-14
Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers.
332-335
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149479
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BoseA92
2002-12-16
Percolation Based Synthesis.
444-449
1990
DAC
http://doi.acm.org/10.1145/123186.123333
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#PotasmanLNG90
2002-12-16
A Design and Validation System for Asynchronous Circuits.
725-730
1995
DAC
http://doi.acm.org/10.1145/217474.217618
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#VanbekbergenWK95
2006-02-10
Using estimates from behavioral synthesis tools in compiler-directed design space exploration.
514-519
2003
DAC
http://doi.acm.org/10.1145/775832.775963
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SoDH03
2002-12-16
Tools and Methodologies for Low Power Design.
76-81
1997
DAC
http://doi.acm.org/10.1145/266021.266038
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Frenkil97
2004-06-21
Fast Power/Ground Network Optimization Based on Equivalent Circuit Modeling.
550-554
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/34_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#TanS01
2002-12-16
Overview of Microelectromechanical Systems and Design Processes.
670-673
1997
DAC
http://doi.acm.org/10.1145/266021.266316
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Tang97
2002-12-16
Critical path analysis using a dynamically bounded delay model.
260-265
2000
DAC
http://doi.acm.org/10.1145/337292.337413
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Hassoun00
2002-12-16
Fast Hardware/Software Co-Simulation for Virtual Prototyping and Trade-Off Analysis.
389-394
1997
DAC
http://doi.acm.org/10.1145/266021.266177
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#PasseroneLCS97
2002-12-16
Complex library mapping for embedded software using symbolic algebra.
325-330
2002
DAC
http://doi.acm.org/10.1145/513918.514003
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#PeymandoustMS02
2002-12-16
Comparative Design Validation Based on Event Pattern Mappings.
373-378
1993
DAC
http://doi.acm.org/10.1145/157485.164936
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Gennart93
2002-12-16
Logic Minimization using Two-column Rectangle Replacement.
470-473
1991
DAC
http://doi.acm.org/10.1145/127601.127714
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#SoeK91
2006-03-14
Symbolic Layout Compaction Review.
383-389
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285792
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Boyer88
2006-03-14
Process Independent Constraint Graph Compaction.
318-322
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149470
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Boyer92
2006-02-10
Power grid reduction based on algebraic multigrid principles.
109-112
2003
DAC
http://doi.acm.org/10.1145/775832.775863
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SuAN03
2003-07-11
A factorization-based framework for passivity-preserving model reduction of RLC systems.
40-45
2002
DAC
http://doi.acm.org/10.1145/513918.513931
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SuBK02
2006-07-12
The Making of VIVID: A Software Engineering Perspective.
74-81
1987
DAC
http://doi.acm.org/10.1145/37888.37899
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Rosenberg87
2005-04-25
VISION: VHDL Induced Schematic Imaging on Net-Lists.
436-442
1987
DAC
http://doi.acm.org/10.1145/37888.37953
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#ChunCM87
2006-03-14
High-Level Synthesis: Current Status and Future Directions.
477-482
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285807
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BorrielloD88
2006-07-11
HPEX: A Hierarchical Parasitic Circuit Extractor.
566-569
1987
DAC
http://doi.acm.org/10.1145/37888.37975
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#SuRT87
2002-12-16
A Fast and Low Cost Testing Technique for Core-Based System-on-Chip.
542-547
1998
DAC
http://doi.acm.org/10.1145/277044.277190
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#GhoshDJ98
2002-12-16
Verification of Interacting Sequential Circuits.
213-219
1990
DAC
http://doi.acm.org/10.1145/123186.123260
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#GhoshDN90
2002-12-16
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning.
262-267
1999
DAC
http://doi.acm.org/10.1145/309847.309926
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#SuWL99
2002-12-16
A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis.
554-559
1998
DAC
http://doi.acm.org/10.1145/277044.277192
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#GhoshJB98
2006-03-14
Parallel Channel Routing.
128-133
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285751
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Zargham88
2003-12-01
DeBuMA: Description, Building and Management of Applications.
203-208
1989
DAC
http://doi.acm.org/10.1145/74382.74417
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#FrydmanGGB89
2006-02-10
New techniques for non-linear behavioral modeling of microwave/RF ICs from simulation and nonlinear microwave measurements.
85-90
2003
DAC
http://doi.acm.org/10.1145/775832.775856
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RootWT03
2002-12-16
Hierarchical Test Generation and Design for Testability of ASPPs and ASIPs.
534-539
1997
DAC
http://doi.acm.org/10.1145/266021.266277
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GhoshRJ97
2004-07-28
Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004
DAC
2004
1-58113-828-8
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2004.html
2002-12-16
New Algorithm for Overlapping Cell Treatment in Hierarchical CAD Data/Electron Beam Exposure Data Conversion.
321-326
1990
DAC
http://doi.acm.org/10.1145/123186.123293
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#OkuboWW90
2006-03-14
Implicit and Incremental Computation of Primes and Essential Primes of Boolean Functions.
36-39
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113929
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#CoudertM92
2002-12-16
New Ideas for Solving Covering Problems.
641-646
1995
DAC
http://doi.acm.org/10.1145/217474.217603
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#CoudertM95
2002-12-16
A Gate-Delay Model for high-Speed CMOS Circuits.
576-580
1994
DAC
http://doi.acm.org/10.1145/196244.196562
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#DartuMQP94
2002-12-16
Routing in a New 2-Dimensional FPGA/FPIC Routing Architecture.
171-176
1994
DAC
http://doi.acm.org/10.1145/196244.196327
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#SunL94
2002-12-16
Data Parallel Simulation Using Time-Warp on the Connection Machine.
98-103
1989
DAC
http://doi.acm.org/10.1145/74382.74400
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ChungC89
2002-12-16
An Object-Oriented VHDL Design Environment.
431-436
1990
DAC
http://doi.acm.org/10.1145/123186.123328
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChungK90
2006-03-14
TEMPT: Technology Mapping for the Exploration of FPGA Architectures with Hard-Wired Connections.
361-367
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149490
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChungR92
2002-12-16
Automatic Clock Abstraction from Sequential Circuits.
707-711
1995
DAC
http://doi.acm.org/10.1145/217474.217615
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#JainBJ95
2002-12-16
Optimal Clustering for Delay Minimization.
309-314
1993
DAC
http://doi.acm.org/10.1145/157485.164907
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#RajaramanW93
2002-12-16
Multi-Level Logic Minimization Based on Multi-Signal Implications.
658-662
1995
DAC
http://doi.acm.org/10.1145/217474.217606
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#YuguchiNWF95
2006-03-14
Generalized Moment-Matching Methods for Transient Analysis of Interconnect Networks.
201-206
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149401
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChiproutN92
2002-12-16
Addressing High-Speed Interconnect Issues in Asymptotic Waveform Evaluation.
732-736
1993
DAC
http://doi.acm.org/10.1145/157485.165110
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChiproutN93
2002-12-16
Design Reuse: Fact or Fiction? (Panel).
562
1994
DAC
http://doi.acm.org/10.1145/196244.196555
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#DuttACDWY94
2002-12-16
Advanced Verification Techniques Based on Learning.
420-426
1995
DAC
http://doi.acm.org/10.1145/217474.217564
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#JainMF95
2002-12-16
Predicting Area-Time Tradeoffs for Pipelined Design.
35-41
1987
DAC
http://doi.acm.org/10.1145/37888.37893
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#JainPP87
2006-03-14
Module Selection for Pipelined Synthesis.
542-547
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285818
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#JainPP88
2006-02-10
Embedded intelligent SRAM.
869-874
2003
DAC
http://doi.acm.org/10.1145/775832.776051
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#JainSD03
2006-03-14
Fault Simulation in a Distributed Environment.
686-691
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285848
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#DubaRAR88
2002-12-16
Functional Verification of Large ASICs.
650-655
1998
DAC
http://doi.acm.org/10.1145/277044.277210
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#EvansSVBDHHL98
2006-02-10
Partial task assignment of task graphs under heterogeneous resource constraints.
244-249
2003
DAC
http://doi.acm.org/10.1145/775832.775895
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SzymanekK03
2002-12-16
False Coupling Interactions in Static Timing Analysis.
726-731
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/43_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ArunachalamBP01
2002-12-16
High-Performance Clock Routing Based on Recursive Geometric Aatching.
322-327
1991
DAC
http://doi.acm.org/10.1145/127601.127688
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#KahngCR91
2006-05-24
Externally Hazard-Free Implementations of Asynchronous Circuits.
718-724
1995
DAC
http://doi.acm.org/10.1145/217474.217617
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SawasakiYL95
2002-12-16
High-Level Transformations for Minimizing Syntactic Variances.
413-418
1993
DAC
http://doi.acm.org/10.1145/157485.164956
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChaiyakulGR93
2002-12-16
TACO: timing analysis with coupling.
266-269
2000
DAC
http://doi.acm.org/10.1145/337292.337415
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ArunachalamRP00
2002-12-16
Performance Analysis Based on Timing Simulation.
70-76
1994
DAC
http://doi.acm.org/10.1145/196244.196281
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#NielsenK94
2002-12-16
<i>RC</i>interconnects.
79-84
2000
DAC
http://doi.acm.org/10.1145/337292.337318
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KahngMS00
2002-12-16
Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing.
436-441
1999
DAC
http://doi.acm.org/10.1145/309847.309975
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#SirichotiyakulEOZDPB99
2002-12-16
Coupling Delay Optimization by Temporal Decorrelation using Dual Threshold Voltage Technique.
732-737
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/43_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KimJSLK01
2002-12-16
A Tree-Based Scheduling Algorithm for Control-Dominated Circuits.
578-582
1993
DAC
http://doi.acm.org/10.1145/157485.165051
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HuangJHHW93
2006-03-14
Power analysis of embedded operating systems.
312-315
2000
DAC
http://doi.acm.org/10.1145/337292.337427
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#DickLRJ00
2006-03-14
Is Technology-Independent Design Really Practical? (Panel Abstract).
128
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110371
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Hillen92
2006-02-10
Shatter: efficient symmetry-breaking for boolean satisfiability.
836-839
2003
DAC
http://doi.acm.org/10.1145/775832.776042
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AloulMS03
2002-12-16
Zero-Suppressed BDDs for Set Manipulation in Combinatorial Problems.
272-277
1993
DAC
http://doi.acm.org/10.1145/157485.164890
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Minato93
2002-12-16
Calculation of Unate Cube Set Algebra Using Zero-Suppressed BDDs.
420-424
1994
DAC
http://doi.acm.org/10.1145/196244.196446
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Minato94
2002-12-16
Universal fault simulation using fault tuples.
786-789
2000
DAC
http://doi.acm.org/10.1145/337292.337779
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#DwarakanathB00
2002-12-16
A Data Path Synthesis Method for Self-Testable Designs.
378-384
1991
DAC
http://doi.acm.org/10.1145/127601.127698
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PapachristouCH91
2002-12-16
Satometer: how much have we searched?
737-742
2002
DAC
http://doi.acm.org/10.1145/513918.514103
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AloulSS02
2002-12-16
Data Path Tradeoffs Using MABAL.
511-516
1990
DAC
http://doi.acm.org/10.1145/123186.123388
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#KucukcakarP90
2002-12-16
CHOP: A Constraint-Driven System-Level Partitioner.
514-519
1991
DAC
http://doi.acm.org/10.1145/127601.127724
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#KucukcakarP91
2002-12-16
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver.
747-750
2002
DAC
http://doi.acm.org/10.1145/513918.514105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#GanaiAGZM02
2003-08-29
An Approach for Redesigning in Data Path Synthesis.
419-423
1993
DAC
http://doi.acm.org/10.1145/157485.164958
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#PapachristouHN93
2006-02-10
Schedulers as model-based design elements in programmable heterogeneous multiprocessors.
408-411
2003
DAC
http://doi.acm.org/10.1145/775832.775938
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#PaulBNPT03
2002-12-16
Remembrance of Things Past: Locality and Memory in BDDs.
196-201
1997
DAC
http://doi.acm.org/10.1145/266021.266065
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ManneGS97
2003-08-29
Microprocessor Based Testing for Core-Based System on Chip.
586-591
1999
DAC
http://doi.acm.org/10.1145/309847.310004
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PapachristouMN99
2005-12-07
An Effective Power Management Scheme for RTL Design Based on Multiple Clocks.
337-342
1996
DAC
http://doi.acm.org/10.1145/240518.240582
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#PapachristouSN96
2002-12-16
Power Optimization of Variable Voltage Core-Based Systems.
176-181
1998
DAC
http://doi.acm.org/10.1145/277044.277088
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HongKQPS98
2002-12-16
A fine-grained arithmetic optimization technique for high-performance/low-power data path synthesis.
98-103
2000
DAC
http://doi.acm.org/10.1145/337292.337325
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#UmKL00
2002-12-16
Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization.
165-170
1993
DAC
http://doi.acm.org/10.1145/157485.164653
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#PullelaMP93
2002-12-16
The next chip challenge: effective methods for viable mixed technology SoCs.
84-87
2002
DAC
http://doi.acm.org/10.1145/513918.513942
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Pogge02
2002-12-16
A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines.
601-606
1990
DAC
http://doi.acm.org/10.1145/123186.123414
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#AsharDN90
2006-05-11
CAD Directions for High Performance Asynchronous Circuits.
116-121
1999
DAC
http://doi.acm.org/10.1145/309847.309893
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#StevensRBCGKR99
2006-03-14
An Empirical Study of On-chip Parallelism.
160-165
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285756
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BaileyS88
2002-12-16
System Level Fixed-Point Design Based on an Interpolative Approach.
293-298
1997
DAC
http://doi.acm.org/10.1145/266021.266105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#WillemsBKGM97
2004-02-13
Effective Iterative Techniques for Fingerprinting Design IP.
843-848
1999
DAC
http://doi.acm.org/10.1145/309847.310083
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CaldwellCKMPQW99
2002-12-16
Geometrical Compaction in One Dimension for Channel Routing.
140-145
1987
DAC
http://doi.acm.org/10.1145/37888.37909
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#RoylePVNS87
2002-12-16
Resynthesis of Multi-Phase Pipelines.
490-496
1993
DAC
http://doi.acm.org/10.1145/157485.164995
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ShenoyBS93
2006-02-10
A fast pseudo-boolean constraint solver.
830-835
2003
DAC
http://doi.acm.org/10.1145/775832.776041
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChaiK03
2002-12-16
Algorithms for Large-Scale Flat Placement.
746-751
1997
DAC
http://doi.acm.org/10.1145/266021.266360
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Vygen97
2006-03-14
Micro-operation Perturbations in Chip Level Fault Modeling.
579-582
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285824
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChaoG88
2006-03-14
Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components.
225-230
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149413
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#GuptaCM92
2006-03-14
Design Automation for the Component Parts Industry.
634-637
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285836
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Chang88
2002-12-16
A novel algorithm to extract two-node bridges.
790-793
2000
DAC
http://doi.acm.org/10.1145/337292.337780
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ZachariahCR00
2002-12-16
Statistics on logic simulation.
13-19
1986
DAC
http://doi.acm.org/10.1145/318013.318017
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WongFCS86
2002-12-16
Toward Formalizing a Validation Methodology Using Simulation Coverage.
740-745
1997
DAC
http://doi.acm.org/10.1145/266021.266359
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GuptaMA97
2002-12-16
Multifunctional photonic integration for the agile optical internet.
231-234
2002
DAC
http://doi.acm.org/10.1145/513918.513977
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Sargent02
2006-03-14
Finding the Optimal Variable Ordering for Binary Decision Diagrams.
358-356
1987
DAC
http://doi.acm.org/10.1145/37888.37941
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#FriedmanS87
2002-12-16
BIST PLAs, Pass or Fail - A Case Study.
724-727
1990
DAC
http://doi.acm.org/10.1145/123186.123452
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#UpadhyayaT90
2002-12-16
A Three-Layer Gridless Channel Router with Compaction.
146-151
1987
DAC
http://doi.acm.org/10.1145/37888.37910
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Polkl87
2002-12-16
Equivalence Checking Using Cuts and Heaps.
263-268
1997
DAC
http://doi.acm.org/10.1145/266021.266090
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KuehlmannK97
2006-03-14
Computing Optimal Clock Schedules.
399-404
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149547
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Szymanski92
2002-12-16
Verification and Management of a Multimillion-Gate Embedded Core Design.
425-428
1999
DAC
http://doi.acm.org/10.1145/309847.309972
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#NotbauerANR99
2006-03-14
A Module Area Estimator for VLSI Layout.
54-59
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285738
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChenB88
2002-12-16
Efficient Large-Scale Power Grid Analysis Based on Preconditioned Krylov-Subspace Iterative Methods.
559-562
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/34_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ChenC01
2002-12-16
A Design Rule Independent Cell Compiler.
466-471
1987
DAC
http://doi.acm.org/10.1145/37888.37957
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#ChenC87
2002-12-16
The Layout Synthesizer: An Automatic Netlist-to-Layout System.
232-238
1989
DAC
http://doi.acm.org/10.1145/74382.74422
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ChenC89
2002-12-16
Software-based diagnosis for processors.
259-262
2002
DAC
http://doi.acm.org/10.1145/513918.513986
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChenD02
2006-03-14
Efficient Sum-to-One Subsets Algorithm for Logic Optimization.
443-448
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149563
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChenF92
2002-12-16
Transient Sensitivity Computation of MOSFET Circuits Using Iterated Timing Analysis and Selective-Tracing Waveform Eelaxation.
581-585
1994
DAC
http://doi.acm.org/10.1145/196244.196565
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChenF94
2002-12-16
An Intelligent Component Database for Behavioral Synthesis.
150-155
1990
DAC
http://doi.acm.org/10.1145/123186.123244
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChenG90
2002-12-16
A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts.
209-214
1996
DAC
http://doi.acm.org/10.1145/240518.240557
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenG96
2002-12-16
Efficient Approximation Algorithms for Floorplan Area Minimization.
483-486
1996
DAC
http://doi.acm.org/10.1145/240518.240610
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenH96
2002-12-16
Floorplan sizing by linear programming approximation.
468-471
2000
DAC
http://doi.acm.org/10.1145/337292.337547
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChenK00
2002-12-16
Power Supply Noise Analysis Methodology for Deep-Submicron VLSI Chip Design.
638-643
1997
DAC
http://doi.acm.org/10.1145/266021.266307
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ChenL97
2002-12-16
Timing Optimization for Multi-Level Combinational Networks.
339-344
1990
DAC
http://doi.acm.org/10.1145/123186.105253
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChenM90
2002-12-16
Datapath Scheduling for Two-Level Pipelining.
603-606
1991
DAC
http://doi.acm.org/10.1145/127601.127740
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChenM91
2002-12-16
Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching.
502-506
1999
DAC
http://doi.acm.org/10.1145/309847.309987
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ChenM99
2006-03-14
A Database Management System for a VLSI Design System.
257-262
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285772
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChenP88
2002-12-16
A Power Macromodeling Technique Based on Power Sensitivity.
678-683
1998
DAC
http://doi.acm.org/10.1145/277044.277216
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ChenR98
2002-12-16
An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing.
783-788
1996
DAC
http://doi.acm.org/10.1145/240518.240666
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenS96
2002-12-16
Optimal Wire-Sizing Function with Fringing Capacitance Consideration.
604-607
1997
DAC
http://doi.acm.org/10.1145/266021.266295
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ChenW97
2002-12-16
Error Bounded Padé Approximation via Bilinear Conformal Transformation.
7-12
1999
DAC
http://doi.acm.org/10.1145/309847.309850
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ChenW99
2006-03-14
Split Circuit Model for Test Generation.
96-101
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285745
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Cheng88
2002-12-16
On Removing Redundancy in Sequential Circuits.
164-169
1991
DAC
http://doi.acm.org/10.1145/127601.127655
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Cheng91
2002-12-16
Utilizing Logic Information in Multi-Level Timing Simulation.
215-218
1991
DAC
http://doi.acm.org/10.1145/127601.127667
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChewS91
2002-12-16
An implication-based method to detect multi-cycle paths in large sequential circuits.
164-169
2002
DAC
http://doi.acm.org/10.1145/513918.513959
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Higuchi02
2002-12-16
Approximation and Decomposition of Binary Decision Diagrams.
445-450
1998
DAC
http://doi.acm.org/10.1145/277044.277168
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#RaviMSS98
2006-03-14
Superpipelined Control and Data Path Synthesis.
638-643
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149650
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#PrabhuP92
2002-12-16
A Semi-Custom Design Flow in High-Performance Microprocessor Design.
426-431
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/27_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#NorthropL01
2002-12-16
Dynamic Communication Models in Embedded System Co-Simulation.
395-400
1997
DAC
http://doi.acm.org/10.1145/266021.266178
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#HinesB97
2002-12-16
A Geographically Distributed Framework for Embedded System Design and Validation.
140-145
1998
DAC
http://doi.acm.org/10.1145/277044.277075
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HinesB98
2002-12-16
A Design for Testability Scheme with Applications to Data Path Synthesis.
271-277
1991
DAC
http://doi.acm.org/10.1145/127601.127679
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChiuP91
2002-12-16
Comparing Structurally Different Views of a VLSI Design.
200-212
1990
DAC
http://doi.acm.org/10.1145/123186.123258
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Spreitzer90
2002-12-16
ABLE: AMD Backplane for Layout Engines.
556-560
1993
DAC
http://doi.acm.org/10.1145/157485.165034
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#WanG93
2002-12-16
Software Accelerated Functional Fault Simulation for Data-Path Architectures.
333-338
1995
DAC
http://doi.acm.org/10.1145/217474.217551
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KassabMRT95
2002-12-16
Pad Placement and Ring Routing for Custom Chip Layout.
193-199
1990
DAC
http://doi.acm.org/10.1145/123186.109845
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Wang90
2002-12-16
Novel Routing Schemes for IC Layout, Part I: Two-Layer Channel Routing.
49-53
1991
DAC
http://doi.acm.org/10.1145/127601.127626
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Wang91
2002-12-16
On-Chip Communication Architecture for OC-768 Network Processors.
678-683
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/41_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KarimNDR01
2006-03-14
Clock Event Suppression Algorithm of VELVET and Its Application to S-820 Development.
716-719
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285854
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#TakamineMNMK88
2002-12-16
PHRAN-SPAN: A Natural Language Interface for System Specifications.
416-422
1987
DAC
http://doi.acm.org/10.1145/37888.37950
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#GranackiP87
2002-12-16
System design methodologies for a wireless security processing platform.
777-782
2002
DAC
http://doi.acm.org/10.1145/513918.514113
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#RaviRPS02
2002-12-16
An implementation of a state assignment heuristic.
643-649
1986
DAC
http://doi.acm.org/10.1145/318013.318129
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Coppola86
2002-12-16
New Methods in the Analysis of Logic Minimization Data and Algorithms.
226-231
1989
DAC
http://doi.acm.org/10.1145/74382.74421
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Coppola89
2002-12-16
Modeling and Minimization of Interconnect Energy Dissipation in Nanometer Technologies.
754-757
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/44_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#TaylorDZ01
2006-02-10
Characterizing the effects of clock jitter due to substrate noise in discrete-time D/S modulators.
532-537
2003
DAC
http://doi.acm.org/10.1145/775832.775967
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Heydari03
2002-12-16
A current driven routing and verification methodology for analog applications.
385-389
2000
DAC
http://doi.acm.org/10.1145/337292.337505
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#AdlerBHB00
2002-12-16
Address assignment combined with scheduling in DSP code generation.
225-230
2002
DAC
http://doi.acm.org/10.1145/513918.513975
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChoiK02
2006-02-10
Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design.
881-886
2003
DAC
http://doi.acm.org/10.1145/775832.776053
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChoiK03
2006-02-10
Recent advances and future prospects in single-electronics.
274-275
2003
DAC
http://doi.acm.org/10.1145/775832.775901
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Wasshuber03
2006-03-14
PLAYGROUND: Minimization of PLAs with Mixed Ground True Outputs.
421-426
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285798
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WeyC88
2002-12-16
Reticle Enhancement Technology: Implications and Challenges for Physical Design.
73-78
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/07_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#GrobmanTWYTD01
2002-12-16
Automated Micro-Roll-back Self-Recovery Synthesis.
385-390
1991
DAC
http://doi.acm.org/10.1145/127601.127699
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#RaghavendraL91
2002-12-16
Synthesis and optimization of coordination controllers for distributed embedded systems.
410-415
2000
DAC
http://doi.acm.org/10.1145/337292.337520
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChouB00
2003-06-05
Software Scheduling in the Co-Synthesis of Reactive Real-Time Systems.
1-4
1994
DAC
http://doi.acm.org/10.1145/196244.196247
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChouB94
2003-06-05
Interval Scheduling: Fine-Grained Code Scheduling for Embedded Systems.
462-467
1995
DAC
http://doi.acm.org/10.1145/217474.217571
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ChouB95
2003-06-05
Modal Processes: Towards Enhanced Retargetability Through Control Composition of Distributed Embedded Systems.
88-93
1998
DAC
http://doi.acm.org/10.1145/277044.277061
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ChouB98
2006-03-14
Versions and Change Notification in an Object-Oriented Database System.
275-281
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285775
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ChouK88
2006-03-14
Object Type Oriented Data Modeling for VLSI Data Management.
351-356
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285787
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WolfL88
2002-12-16
Multilevel Integral Equation Methods for the Extraction of Substrate Coupling Parameters in Mixed-Signal IC's.
20-25
1998
DAC
http://doi.acm.org/10.1145/277044.277049
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ChouW98
2002-12-16
Opportunities and Obstacles in Low-Power System-Level CAD.
15-20
1996
DAC
http://doi.acm.org/10.1145/240518.240521
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Wolfe96
2002-12-16
A Hardware Accelerator for Maze Routing.
800-806
1987
DAC
http://doi.acm.org/10.1145/37888.38014
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#WonSE87
2006-02-10
Constraint synthesis for environment modeling in functional verification.
296-299
2003
DAC
http://doi.acm.org/10.1145/775832.775909
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#YuanAAP03
2002-12-16
Synthesis of Application-Specific Multiprocessor Architectures.
8-13
1991
DAC
http://doi.acm.org/10.1145/127601.127612
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PrakashP91
2006-02-10
Timing optimization of FPGA placements by logic replication.
196-201
2003
DAC
http://doi.acm.org/10.1145/775832.775885
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#BeraudoL03
2002-12-16
A Methodology for Guided Behavioral-Level Optimization.
309-314
1998
DAC
http://doi.acm.org/10.1145/277044.277134
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#GuerraPR98
2002-12-16
A new algorithm for floorplan design.
101-107
1986
DAC
http://doi.acm.org/10.1145/318013.318030
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WongL86
2002-12-16
Array Optimization for VLSI Synthesis.
537-543
1987
DAC
http://doi.acm.org/10.1145/37888.37968
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#WongL87
2006-02-10
High-level synthesis of asynchronous systems by data-driven decomposition.
508-513
2003
DAC
http://doi.acm.org/10.1145/775832.775962
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#WongM03
2002-12-16
Efficient Floorplan Area Optimization.
586-589
1989
DAC
http://doi.acm.org/10.1145/74382.74481
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#WongS89
2002-12-16
An Efficient Critical Race-Free State Assignment Technique for Asynchronous Finite State Machines.
2-6
1993
DAC
http://doi.acm.org/10.1145/157485.157569
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChuML93
2006-09-26
Physical synthesis methodology for high performance microprocessors.
696-701
2003
DAC
http://doi.acm.org/10.1145/775832.776009
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChanKLNR03
2002-12-16
A Test Synthesis Approach to Reducing BALLAST DFT Overhead.
466-471
1997
DAC
http://doi.acm.org/10.1145/266021.266202
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ChangLMAC97
2002-12-16
Efficient Algorithms for Optimum Cycle Mean and Optimum Cost to Time Ratio Problems.
37-42
1999
DAC
http://doi.acm.org/10.1145/309847.309862
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#DasdanIG99
2002-12-16
Logic Optimization of MOS Networks.
464-469
1991
DAC
http://doi.acm.org/10.1145/127601.127713
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LimquecoM91
2006-05-24
CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell Libraries.
327-332
1997
DAC
http://doi.acm.org/10.1145/266021.266126
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GuruswamyMDRCFJ97
2002-12-16
Formal verification of iterative algorithms in microprocessors.
201-206
2000
DAC
http://doi.acm.org/10.1145/337292.337388
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#AagaardJKKS00
2002-12-16
Rate Derivation and Its Applications to Reactive, Real-Time Embedded Systems.
263-268
1998
DAC
http://doi.acm.org/10.1145/277044.277118
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#DasdanRG98
2002-12-16
Demand Driven Simulation: BACKSIM.
181-187
1987
DAC
http://doi.acm.org/10.1145/37888.37915
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#SmithMB87
2002-12-16
EDA meets.COM (panel session): how E-services will change the EDA business model.
253
2000
DAC
http://doi.acm.org/10.1145/337292.337408
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#SmithQK00
2002-12-16
Faster Architectural Simulation Through Parallelism.
189-194
1987
DAC
http://doi.acm.org/10.1145/37888.37917
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#SmithSS87
2002-12-16
Technology Mapping Using Fuzzy Logic.
333-338
1994
DAC
http://doi.acm.org/10.1145/196244.196402
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ImanPC94
2002-12-16
Statistical Design Space Exploration for Application-Specific Unit Synthesis.
641-646
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/39_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BruniBB01
2002-12-16
Automated timing model generation.
146-151
2002
DAC
http://doi.acm.org/10.1145/513918.513956
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#DagaMSWW02
2004-10-07
COSY communication IP's.
406-409
2000
DAC
http://doi.acm.org/10.1145/337292.337515
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BrunelKKPPKS00
2002-12-16
Management Issues in Eda.
41-47
1994
DAC
http://doi.acm.org/10.1145/196244.196267
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Prabhu94
2002-12-16
Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network.
561-567
1989
DAC
http://doi.acm.org/10.1145/74382.74476
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#McGeerB89a
2002-12-16
MISER: An Integrated Three Layer Gridless Channel Router and Compactor.
698-703
1990
DAC
http://doi.acm.org/10.1145/123186.123444
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#GidwaniS90
2006-02-10
A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and MEMS.
490-495
2003
DAC
http://doi.acm.org/10.1145/775832.775958
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#VasilyevRW03
2002-12-16
A tutorial introduction to the electronic design interchange format (tutorial session).
327-333
1986
DAC
http://doi.acm.org/10.1145/318013.318064
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Eurich86
2006-03-14
The State of EDA Standards (Panel Abstract).
716
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149689
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Eurich92
2002-12-16
An object-oriented, procedural database for VLSI chip planning.
744-751
1986
DAC
http://doi.acm.org/10.1145/318013.318155
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Wolf86
2006-03-14
What Is a Design Automation Framework, Anyway? (panel).
256
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286218
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Wolf88
2002-12-16
The FSM Network Model for Behavioral Synthesis of Control-Dominated Machines.
692-697
1990
DAC
http://doi.acm.org/10.1145/123186.123442
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Wolf90
2002-12-16
Embedded Systems and Hardware-Software Co-Design: Panacea or Pandora's Box? (Panel Abstract).
308
1993
DAC
http://doi.acm.org/10.1145/157485.164905
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Wolf93
2002-12-16
An Efficient Method of Partitioning Circuits for Multiple-FPGA Implementation..
202-207
1993
DAC
http://doi.acm.org/10.1145/157485.164669
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#WooK93
2002-12-16
A Technology-adaptive Allocation of Functional Units and Connections.
602-605
1989
DAC
http://doi.acm.org/10.1145/74382.74485
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#WooS89
2002-12-16
Quantified Suboptimality of VLSI Layout Heuristics.
216-221
1995
DAC
http://doi.acm.org/10.1145/217474.217532
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#HagenHK95
2006-03-14
A Methodology to Reduce the Computational Cost of Behavioral Test Pattern Generation.
267-272
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149434
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SantucciDGB92
2004-11-09
Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design.
667-672
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/41_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SgroiSMKMRS01
2002-12-16
Schedule Validation for Embedded Reactive Real-Time Systems.
52-57
1997
DAC
http://doi.acm.org/10.1145/266021.266034
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#BalarinS97
2002-12-16
Passive Reduced-Order Models for Interconnect Simulation and Their Computation via Krylov-Subspace Algorithms.
195-200
1999
DAC
http://doi.acm.org/10.1145/309847.309913
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Freund99
2002-12-16
A Rule-Based Circuit Representation for Automated CMOS Design and Verification.
786-792
1987
DAC
http://doi.acm.org/10.1145/37888.38012
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#WuWN87
2002-12-16
Efficient State Classification of Finite State Markov Chains.
605-610
1998
DAC
http://doi.acm.org/10.1145/277044.277202
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#XieB98
2002-12-16
Benchmarks for Cell-Based Layout Systems.
319-320
1987
DAC
http://doi.acm.org/10.1145/37888.37936
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Preas87
2005-01-19
Gradient-Based Optimization of Custom Circuits Using a Static-Timing Formulation.
452-459
1999
DAC
http://doi.acm.org/10.1145/309847.309979
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ConnEMOSVW99
2002-12-16
Espresso-Signature: A New Exact Minimizer for Logic Functions.
618-624
1993
DAC
http://doi.acm.org/10.1145/157485.165069
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#McGeerSBS93
2006-06-26
Transistor Sizing in CMOS Circuits.
121-124
1987
DAC
http://doi.acm.org/10.1145/37888.37906
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Cirit87
2006-03-14
Switch Level Random Pattern Testability Analysis.
587-590
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285826
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Cirit88
2002-12-16
VALKYRIE: A Validation Subsystem of a Version Server for Computer-Aided Design Data.
321-327
1987
DAC
http://doi.acm.org/10.1145/37888.37937
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#BhatejaK87
2002-12-16
A Reconfigurable Logic Machine for Fast Event-Driven Simulation.
668-671
1998
DAC
http://doi.acm.org/10.1145/277044.277214
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#BauerBKV98
2002-12-16
Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy.
339-344
1995
DAC
http://doi.acm.org/10.1145/217474.217552
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KriegerBK95
2002-12-16
Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts?
396-401
1998
DAC
http://doi.acm.org/10.1145/277044.277152
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#IenneG98
2002-12-16
Where in the World Should CAD Software be Made? (Panel Abstract).
742
1993
DAC
http://doi.acm.org/10.1145/157485.165114
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Darringer93
2002-12-16
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification.
152-157
1998
DAC
http://doi.acm.org/10.1145/277044.277078
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#FallahDK98a
2002-12-16
On the relative placement and the transportation problem for standard-cell layout.
308-313
1986
DAC
http://doi.acm.org/10.1145/318013.318061
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#JustKJ86
2002-12-16
Plowing: Modifying Cells and Routing 45: 9D - Layouts.
702-705
1989
DAC
http://doi.acm.org/10.1145/74382.74508
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JustSK89
2006-03-14
An Enhanced Data Model for CAD/CAM Database Systems.
263-268
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285773
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Yang88
2002-12-16
PARASPICE: A Parallel Circuit Simulator for Shared-Memory Multiprocessors.
400-405
1990
DAC
http://doi.acm.org/10.1145/123186.123318
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Yang90
2002-12-16
Crosstalk noise estimation for noise management.
659-664
2002
DAC
http://doi.acm.org/10.1145/513918.514086
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MortonD02
2002-12-16
Object Oriented Lisp Implementation of the CHEOPS VLSI Floor Planning and Routing System.
259-264
1991
DAC
http://doi.acm.org/10.1145/127601.127677
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MassonEBWC91
2002-12-16
Analysis of power consumption on switch fabrics in network routers.
524-529
2002
DAC
http://doi.acm.org/10.1145/513918.514051
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#YeMB02
2006-02-10
An arbitrary twoqubit computation In 23 elementary gates or less.
324-329
2003
DAC
http://doi.acm.org/10.1145/775832.775916
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#BullockM03
2003-03-27
Clock tree optimization in synchronous CMOS digital circuits for substrate noise reduction using folding of supply current transients.
399-404
2002
DAC
http://doi.acm.org/10.1145/513918.514021
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BadarogluTDWMVG02
2002-12-16
Future Performance Challenges in Nanometer Design.
3-8
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/02_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SylvesterK01
2006-02-10
Delay budgeting in sequential circuit with application on FPGA placement.
202-207
2003
DAC
http://doi.acm.org/10.1145/775832.775886
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#YehM03
2002-12-16
Two-Dimensional Position Detection System with MEMS Accelerometer for MOUSE Applications.
852-857
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/50_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LeeNCKD01
2002-12-16
Resolving Signal Correlations for Estimating Maximum Currents in CMOS Combinational Circuits.
384-388
1993
DAC
http://doi.acm.org/10.1145/157485.164941
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KriplaniNYH93
2002-12-16
Generation of Correlated Random Patterns for the Complete Testing of Synthesized Multi-level Circuits.
347-352
1991
DAC
http://doi.acm.org/10.1145/127601.127692
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PaterasR91
2002-12-16
Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor.
718-723
2000
DAC
http://doi.acm.org/10.1145/337292.337755
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YenY00
2002-12-16
Software synthesis from synchronous specifications using logic simulation techniques.
319-324
2002
DAC
http://doi.acm.org/10.1145/513918.514002
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#JiangB02
2002-12-16
Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices.
760-765
1999
DAC
http://doi.acm.org/10.1145/309847.310053
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#JiangC99
2002-12-16
IC Test Using the Energy Consumption Ratio.
976-981
1999
DAC
http://doi.acm.org/10.1145/309847.310109
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#JiangV99
2002-12-16
Hierarchical 2-D Field Solution for Capacitance Extraction for VLSI Interconnect Modeling.
127-132
1997
DAC
http://doi.acm.org/10.1145/266021.266048
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#DengiR97
2002-12-16
Boundary Element Method Macromodels for 2-D Hierachical Capacitance Extraction.
218-223
1998
DAC
http://doi.acm.org/10.1145/277044.277102
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#DengiR98
2006-02-10
Temporofunctional crosstalk noise analysis.
860-863
2003
DAC
http://doi.acm.org/10.1145/775832.776048
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChaiKRTWM03
2002-12-16
An Adaptive Timing-Driven Layout for High Speed VLSI.
90-95
1990
DAC
http://doi.acm.org/10.1145/123186.123233
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SutanthavibulS90
2002-12-16
Dynamic Prediction of Critical Paths and Nets for Constructive Timing-Driven Placement.
632-635
1991
DAC
http://doi.acm.org/10.1145/127601.127165
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#SutanthavibulS91
2006-02-10
Nanometer design: place your bets.
546-547
2003
DAC
http://doi.acm.org/10.1145/775832.775971
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#KahngBCDGSS03
2002-12-16
Clock Routing for High-Performance ICs.
573-579
1990
DAC
http://doi.acm.org/10.1145/123186.123406
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#JacksonSK90
2002-12-16
Cluster Refinement for Block Placement.
762-765
1997
DAC
http://doi.acm.org/10.1145/266021.266366
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#XuGC97
2002-12-16
Dependency Preserving Probabilistic Modeling of Switching Activity using Bayesian Networks.
209-214
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/14_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BhanjaR01
2002-12-16
The Design Automation Standards Environment.
559-561
1987
DAC
http://doi.acm.org/10.1145/37888.37971
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Waxman87
2006-06-12
A Neural Network Design for Circuit Partitioning.
406-411
1989
DAC
http://doi.acm.org/10.1145/74382.74450
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#YihM89
2002-12-16
Reducing Cross-Coupling Among Interconnect Wires in Deep-Submicron Datapath Design.
485-490
1999
DAC
http://doi.acm.org/10.1145/309847.309984
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#YimK99
2002-12-16
Using BDDs to Verify Multipliers.
408-412
1991
DAC
http://doi.acm.org/10.1145/127601.127703
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Burch91
2002-12-16
Techniques for Verifying Superscalar Microprocessors.
552-557
1996
DAC
http://doi.acm.org/10.1145/240518.240623
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Burch96
2005-06-21
Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005
DAC
2005
1-59593-058-2
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2005.html
2002-12-16
Formal Property Verification by Abstraction Refinement with Formal, Simulation and Hybrid Engines.
35-40
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/04_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#WangHLKZMD01
2002-12-16
Architectural Exploration Using Verilog-Based Power Estimation: A Case Study of the IDCT.
415-420
1997
DAC
http://doi.acm.org/10.1145/266021.266184
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#XanthopoulosYC97
2002-12-16
A Comparison of Four Two-dimensional Gate Matrix Layout Tools.
698-701
1989
DAC
http://doi.acm.org/10.1145/74382.74507
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#IrwinO89
2002-12-16
Knowledge-based optimal IIL generator from conventional logic circuit descriptions.
608-614
1986
DAC
http://doi.acm.org/10.1145/318013.318112
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WatanabeMNH86
2002-12-16
Model checking algorithms for analog verification.
542-547
2002
DAC
http://doi.acm.org/10.1145/513918.514055
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#HartongHB02
2002-12-16
High-Level Test Generation for Design Verification of Pipelined Microprocessors.
185-188
1999
DAC
http://doi.acm.org/10.1145/309847.309912
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CampenhoutMH99
2002-12-16
Wave-steering one-hot encoded FSMs.
357-360
2000
DAC
http://doi.acm.org/10.1145/337292.337440
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#MacchiaruloM00
2002-12-16
Power Distribution Topology Design.
503-507
1995
DAC
http://doi.acm.org/10.1145/217474.217578
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#VittalM95a
2002-12-16
Transformation rules for designing CNOT-based quantum circuits.
419-424
2002
DAC
http://doi.acm.org/10.1145/513918.514026
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#IwamaKY02
2002-12-16
Mixed-Signal Switching Noise Analysis Using Voronoi-Tessellated Substrate Macromodels.
439-444
1995
DAC
http://doi.acm.org/10.1145/217474.217567
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#WempleY95
2006-02-10
Design flow for HW / SW acceleration transparency in the thumbpod secure embedded system.
60-65
2003
DAC
http://doi.acm.org/10.1145/775832.775850
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HwangLSSFYHV03
2002-12-16
Behavioral Partitioning in the Synthesis of Mixed Analog-Digital Systems.
133-138
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/09_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#GanesanV01
2002-12-16
Routing the 3-D Chip.
132-137
1991
DAC
http://doi.acm.org/10.1145/127601.127644
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#EnbodyLT91
2002-12-16
Panel: (When) Will FPGAs Kill ASICs?
321-322
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/21_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#RutenbarBDJORS01
2002-12-16
Algorithmic Aspects of Three Dimensional MCM Routing.
397-401
1994
DAC
http://doi.acm.org/10.1145/196244.196434
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#YuBS94
2002-12-16
Escher - a geometrical layout system for recursively defined circuits.
650-653
1986
DAC
http://doi.acm.org/10.1145/318013.318126
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#ClarkeF86
2006-02-10
Instruction encoding synthesis for architecture exploration using hierarchical processor models.
262-267
2003
DAC
http://doi.acm.org/10.1145/775832.775898
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#NohlGBALSM03
2002-12-16
New Algorithm for Over-the-Cell Channel Routing Using Vacant Terminals.
126-131
1991
DAC
http://doi.acm.org/10.1145/127601.127642
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#HolmesSS91
2002-12-16
A unified treatment of PLA faults by Boolean differences.
334-338
1986
DAC
http://doi.acm.org/10.1145/318013.318065
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Daehn86
2006-03-16
VLSI Circuit Testing Using an Adaptive Optimization Model.
399-406
1987
DAC
http://doi.acm.org/10.1145/37888.37948
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#YuKL87
2006-05-30
The use of carry-save representation in joint module selection and retiming.
768-773
2000
DAC
http://doi.acm.org/10.1145/337292.337773
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YuKW00
2002-12-16
Singularity-treated quadrature-evaluated method of moments solver for 3-D capacitance extraction.
536-539
2000
DAC
http://doi.acm.org/10.1145/337292.337569
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Zhao00
2002-12-16
Topological Routing in SURF: Generating a Rubber-Band sketch.
39-44
1991
DAC
http://doi.acm.org/10.1145/127601.127622
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DaiDS91
2002-12-16
Capturing Designer Expertise the CGEN System.
610-613
1989
DAC
http://doi.acm.org/10.1145/74382.74487
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BirminghamS89
2002-12-16
Parasitic Extraction Accuracy - How Much is Enough?
429
1999
DAC
http://doi.acm.org/10.1145/309847.309973
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#FranzonBFMPSW99
2002-12-16
Failure Recovery in the MICON System.
686-691
1990
DAC
http://doi.acm.org/10.1145/123186.123440
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#DagaB90
2002-12-16
The Minimization and Decomposition of Interface State Machines.
120-125
1994
DAC
http://doi.acm.org/10.1145/196244.196301
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#DagaB94
2002-12-16
Routability of a Rubber-Band Sketch.
45-48
1991
DAC
http://doi.acm.org/10.1145/127601.127623
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DaiKS91
2006-06-02
Interface Timing Verification Drives System Design.
240-245
1997
DAC
http://doi.acm.org/10.1145/266021.266078
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#DagaS97
2003-09-05
Passive model order reduction algorithm based on Chebyshev expansion of impulse response of interconnect networks.
520-525
2000
DAC
http://doi.acm.org/10.1145/337292.337565
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YuWK00
2006-05-30
Signal Representation Guided Synthesis Using Carry-Save Adders For Synchronous Data-path Circuits.
456-461
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/29_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#YuYW01
2002-12-16
An Efficient Assertion Checker for Combinational Properties.
734-739
1997
DAC
http://doi.acm.org/10.1145/266021.266357
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#HasteerMB97
2002-12-16
An Implicit Algorithm for Finding Steady States and its Application to FSM Verification.
611-614
1998
DAC
http://doi.acm.org/10.1145/277044.277203
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HasteerMB98
2002-12-16
Soft Scheduling in High Level Synthesis.
219-224
1999
DAC
http://doi.acm.org/10.1145/309847.309917
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ZhuG99
2006-09-13
A Dynamic and Efficient Representation of Building-Block Layout.
376-384
1987
DAC
http://doi.acm.org/10.1145/37888.37945
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#DaiSK87
2002-12-16
Hardware Compilation for FPGA-Based Configurable Computing Machines.
697-702
1999
DAC
http://doi.acm.org/10.1145/309847.310030
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ZhuL99
2002-12-16
Switch Bound Allocation for Maximizing Routability in Timing-Driven Routing of FPGAs.
165-170
1994
DAC
http://doi.acm.org/10.1145/196244.196324
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ZhuW94
2002-12-16
A Unified Design Representation Can Work.
811-813
1989
DAC
http://doi.acm.org/10.1145/74382.74536
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#KollaritschLMSS89
2002-12-16
Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards.
421-426
1998
DAC
http://doi.acm.org/10.1145/277044.277164
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Yuan98
2006-02-10
Optimum positioning of interleaved repeaters In bidirectional buses.
586-591
2003
DAC
http://doi.acm.org/10.1145/775832.775981
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#GhoneimaI03
2002-12-16
Design Strategy of On-Chip Inductors for Highly Integrated RF Systems.
982-987
1999
DAC
http://doi.acm.org/10.1145/309847.310110
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#YueW99
2002-12-16
VLSI Design and System Level Verification for the Mini-Disc.
491-496
1996
DAC
http://doi.acm.org/10.1145/240518.240612
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#FujimotoK96
2002-12-16
A Unified Approach to Input-Output Encoding for FSM State Assignment.
176-181
1991
DAC
http://doi.acm.org/10.1145/127601.127659
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#CiesielskiSD91
2006-03-14
Over-the-Cell Routers for New Cell Model.
604-607
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149640
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#WuSHS92
2002-12-16
STL - a high level language for simulation and test.
517-523
1986
DAC
http://doi.acm.org/10.1145/318013.318095
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#IvieL86
2006-06-01
The Use of Inverse Layout Trees for Hierarchical Design Rule Checking.
508-512
1989
DAC
http://doi.acm.org/10.1145/74382.74467
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#HedenstiernaJ89
2006-03-14
Recurrence Equations and the Optimization of Synchronous Logic Circuits.
556-561
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149629
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#DamianiM92
2006-02-10
Checking satisfiability of a conjunction of BDDs.
818-823
2003
DAC
http://doi.acm.org/10.1145/775832.776039
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#DamianoK03
2002-12-16
Functional Correlation Analysis in Crosstalk Induced Critical Paths Identification.
653-656
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/40_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#XiaoM01
2002-12-16
A Scheme for Overlaying Concurrent Testing of VLSI Circuits.
531-536
1989
DAC
http://doi.acm.org/10.1145/74382.74471
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JonePP89
2002-12-16
A Decision Procedure for Bit-Vector Arithmetic.
522-527
1998
DAC
http://doi.acm.org/10.1145/277044.277186
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#BarrettDL98
2002-12-16
On the Computation of the Set of Reachable States of Hybrid Models.
615-621
1994
DAC
http://doi.acm.org/10.1145/196244.196583
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KrishnakumarC94
2002-12-16
GOALSERVER: A Multiobjective Design Optimization Tool for IC Fabrication Process.
585-590
1991
DAC
http://doi.acm.org/10.1145/127601.127737
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#WuYYL91
2002-12-16
Improved Tool and Data Selection in Task Management.
181-184
1996
DAC
http://doi.acm.org/10.1145/240518.240552
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HagermanD96
2002-12-16
Phase Noise in Oscillators: A Unifying Theory and Numerical Methods for Characterisation.
26-31
1998
DAC
http://doi.acm.org/10.1145/277044.277050
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#DemirMR98
2002-12-16
Improving the Performance of the Kernighan-Lin and Simulated Annealing Graph Bisection Algorithms.
775-778
1989
DAC
http://doi.acm.org/10.1145/74382.74527
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BuiHJL89
2002-12-16
Multiple Si layer ICs: motivation, performance analysis, and design implications.
213-220
2000
DAC
http://doi.acm.org/10.1145/337292.337394
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#SouriBMS00
2002-12-16
RF IC Design Challenges.
408-413
1998
DAC
http://doi.acm.org/10.1145/277044.277154
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Razavi98
2002-12-16
Semantics of a Hardware Design Language for Japanese Standardization.
836-839
1989
DAC
http://doi.acm.org/10.1145/74382.74518
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#YasuuraI89
2002-12-16
Path Hashing to Accelerate Delay Fault Simulation.
522-526
1994
DAC
http://doi.acm.org/10.1145/196244.196523
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#HenftlingWA94
2002-12-16
Amap: A Technology Mapper for Selector-Based Field-Programmable Gate Arrays.
244-247
1991
DAC
http://doi.acm.org/10.1145/127601.127673
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Karplus91a
2002-12-16
Accelerating Switch-Level Simulation by Function Caching.
211-214
1991
DAC
http://doi.acm.org/10.1145/127601.127666
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Jones91a
2002-12-16
The Use of CAD Frameworks in a CIM Environment.
257-261
1994
DAC
http://doi.acm.org/10.1145/196244.196369
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KeeSGK94
2002-12-16
Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube.
807-813
1987
DAC
http://doi.acm.org/10.1145/37888.38015
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#JonesB87
2002-12-16
The Automatic Generation of Functional Test Vectors for Rambus Designs.
415-420
1996
DAC
http://doi.acm.org/10.1145/240518.240598
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#JonesP96
2002-12-16
Passive model order reduction of multiport distributed interconnects.
526-531
2000
DAC
http://doi.acm.org/10.1145/337292.337566
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GadDNA00
2006-03-14
Performance Evaluation of an Event-Driven Logic Simulation Machine.
428-431
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149560
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Hirose92
2002-12-16
RICE: Rapid Interconnect Circuit Evaluator.
555-560
1991
DAC
http://doi.acm.org/10.1145/127601.127732
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#RatzlaffGP91
2002-12-16
Techniques for Unit-Delay Compiled Simulation.
480-484
1990
DAC
http://doi.acm.org/10.1145/123186.123346
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#MaurerW90
2002-12-16
An Algorithm for Performance-Driven Initial Placement of Small-Cell ICs.
636-639
1991
DAC
http://doi.acm.org/10.1145/127601.127743
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Srinivasan91
2002-12-16
Dynamic power management of complex systems using generalized stochastic Petri nets.
352-356
2000
DAC
http://doi.acm.org/10.1145/337292.337438
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#QiuWP00
2002-12-16
Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service.
834-839
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/49_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#QiuWP01
2002-12-16
Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics.
684-689
1998
DAC
http://doi.acm.org/10.1145/277044.277217
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#QiuWP98
2004-12-07
Fast Approximation of the Transient Response of Lossy Transmision Line Trees.
691-696
1993
DAC
http://doi.acm.org/10.1145/157485.165093
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SriramK93
2002-12-16
Verification of configurable processor cores.
426-431
2000
DAC
http://doi.acm.org/10.1145/337292.337527
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Puig-MedinaEK00
2002-12-16
A Functional-Level Test Generation Methodology Using Two-level Representations.
722-725
1989
DAC
http://doi.acm.org/10.1145/74382.74513
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#DaveP89
2006-02-10
CoCo: a hardware/software platform for rapid prototyping of code compression technologies.
306-311
2003
DAC
http://doi.acm.org/10.1145/775832.775912
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LekatsasHCJS03
2002-12-16
An Intelligent Compiler Subsystem for a Silicon Compiler.
443-450
1987
DAC
http://doi.acm.org/10.1145/37888.37954
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#JohannsenTM87
2006-03-14
The Electronic Design Interchange Format EDIF: Present and Future.
666-671
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149663
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KahnG92
2002-12-16
Accurate and Efficient Macromodel of Submicron Digital Standard Cells.
633-637
1997
DAC
http://doi.acm.org/10.1145/266021.266305
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ForzanFG97
2002-12-16
Fast Hypergraph Partition.
762-766
1989
DAC
http://doi.acm.org/10.1145/74382.74524
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Kahng89
2006-03-14
Functional Synthesis Using Area and Delay Optimization.
291-296
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149451
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RundensteinerG92
2002-12-16
<i>FACT</i>: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions.
102-107
1998
DAC
http://doi.acm.org/10.1145/277044.277066
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LakshminarayanaJ98
2002-12-16
Automatic placement a review of current techniques (tutorial session).
622-629
1986
DAC
http://doi.acm.org/10.1145/318013.318124
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#PreasK86
2005-03-02
Chameleon: a new multi-layer channel router.
495-502
1986
DAC
http://doi.acm.org/10.1145/318013.318092
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BraunBDMMRS86
2002-12-16
A workstation-mixed model circuit simulator.
186-192
1986
DAC
http://doi.acm.org/10.1145/318013.318043
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#OdrynaNC86
2002-12-16
Transistor Sizing Issues and Tool For Multi-Threshold CMOS Technology.
409-414
1997
DAC
http://doi.acm.org/10.1145/266021.266182
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KaoCA97
2002-12-16
Carbon nanotube field-effect transistors and logic circuits.
94-98
2002
DAC
http://doi.acm.org/10.1145/513918.513944
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#MartelDAWA02
2002-12-16
MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns.
495-500
1998
DAC
http://doi.acm.org/10.1145/277044.277180
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KaoNC98
2006-02-10
SAT-based unbounded symbolic model checking.
840-843
2003
DAC
http://doi.acm.org/10.1145/775832.776043
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#KangP03
2002-12-16
Speed Up of Test Generation Using High-Level Primitives.
594-599
1990
DAC
http://doi.acm.org/10.1145/123186.123413
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#KundaARN90
2006-06-01
Parallel Circuit Simulation Using Hierarchical Relaxation.
394-399
1990
DAC
http://doi.acm.org/10.1145/123186.123316
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#HungWGS90
2002-12-16
A General Multi-Layer Area Router.
335-340
1991
DAC
http://doi.acm.org/10.1145/127601.127690
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#GuruswamyW91
2002-12-16
Aesop: A Tool for Automated Transistor Sizing.
114-120
1987
DAC
http://doi.acm.org/10.1145/37888.37905
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Hedlund87
2006-05-03
High-level Software Energy Macro-modeling.
605-610
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/37_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#TanRLJ01
2002-12-16
MINFLOTRANSIT: min-cost flow based transistor sizing tool.
649-664
2000
DAC
http://doi.acm.org/10.1145/337292.337606
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#SundararajanSP00
2002-12-16
Creating and Exploiting Flexibility in Steiner Trees.
195-198
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/13_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BozorgzadehKS01
2006-03-09
A Note on Clustering Modules for Floorplanning.
594-597
1989
DAC
http://doi.acm.org/10.1145/74382.74483
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#GabbeS89
2002-12-16
Algorithms for global routing.
824-830
1986
DAC
http://doi.acm.org/10.1145/318013.318167
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Xiong86
2002-12-16
Hardware/Software Co-Simulation.
439-440
1994
DAC
http://doi.acm.org/10.1145/196244.196458
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Rowson94
2006-03-14
An Efficient algorithm for Microword Length Minimization.
651-656
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149655
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#PuriG92
2002-12-16
A Modular Partitioning Approach for Asynchronous Circuit Synthesis.
63-69
1994
DAC
http://doi.acm.org/10.1145/196244.196278
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#PuriG94
2002-12-16
A Unified Approach to Language Containment and Fair CTL Model Checking.
475-481
1993
DAC
http://doi.acm.org/10.1145/157485.164985
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HojatiSBK93
2002-12-16
A comparison of three verification techniques: directed testing, pseudo-random testing and property checking.
819-823
2002
DAC
http://doi.acm.org/10.1145/513918.514121
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BartleyGB02
2006-03-14
The Use of Petri Nets for Modeling Pipelined Processors.
548-553
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285819
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Razouk88
2002-12-16
Efficient error detection, localization, and correction for FPGA-based debugging.
207-212
2000
DAC
http://doi.acm.org/10.1145/337292.337391
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LachMP00
2002-12-16
Robust FPGA Intellectual Property Protection Through Multiple Small Watermarks.
831-836
1999
DAC
http://doi.acm.org/10.1145/309847.310080
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LachMP99
2006-02-10
Power grid simulation via efficient sampling-based sensitivity analysis and hierarchical symbolic relaxation.
664-669
2005
DAC
http://doi.acm.org/10.1145/1065579.1065753
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2005.html#Li05
2006-03-14
A Circuit Comparison System with Rule-Based Functional Isomorphism Checking.
512-516
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285813
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#TakashimaIKTSS88
2002-12-16
CAD and Foundries for Microsystems.
674-679
1997
DAC
http://doi.acm.org/10.1145/266021.266317
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KaramCBDPSRHG97
2002-12-16
Panel: What is the Proper System on Chip Design Methodology.
999
1999
DAC
http://doi.acm.org/10.1145/309847.310113
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GoeringBDGKPS99
2002-12-16
Serial Fault Emulation.
801-806
1996
DAC
http://doi.acm.org/10.1145/240518.240669
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BurgunRFBL96
2002-12-16
Embedded test control schemes for compression in SOCs.
679-684
2002
DAC
http://doi.acm.org/10.1145/513918.514091
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KayCM02
2002-12-16
InfoPad - An Experiment in System Level Design and Integration.
313-314
1997
DAC
http://doi.acm.org/10.1145/266021.266117
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Brodersen97
2002-12-16
A New Heuristic for Single Row Routing Problems.
167-172
1989
DAC
http://doi.acm.org/10.1145/74382.74411
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SherwaniD89
2002-12-16
BDS: a BDD-based logic optimization system.
92-97
2000
DAC
http://doi.acm.org/10.1145/337292.337323
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YangCS00
2002-12-16
Behavioral Synthesis.
33-34
1996
DAC
http://doi.acm.org/10.1145/240518.240524
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Camposano96
2002-12-16
A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together.
128-134
1998
DAC
http://doi.acm.org/10.1145/277044.277072
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#SalekLP98
2002-12-16
MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search.
472-478
1999
DAC
http://doi.acm.org/10.1145/309847.309982
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#SalekLP99
2002-12-16
<sub>DDT</sub>and Boundary Scan.
307-312
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/20_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#YangPT01
2002-12-16
Predicting performance potential of modern DSPs.
332-335
2000
DAC
http://doi.acm.org/10.1145/337292.337431
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GhazalNR00
2002-12-16
Power Considerations in the Design of the Alpha 21264 Microprocessor.
726-731
1998
DAC
http://doi.acm.org/10.1145/277044.277226
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#GowanBJ98
2002-12-16
Unifying behavioral synthesis and physical design.
756-761
2000
DAC
http://doi.acm.org/10.1145/337292.337769
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#DoughertyT00
2002-12-16
Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis.
137-142
1996
DAC
http://doi.acm.org/10.1145/240518.240544
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#IyerK96
2002-12-16
A Systematic Technique for Verifying Critical Path Delays in a 300MHz Alpha CPU Design Using Circuit Simulation.
125-130
1996
DAC
http://doi.acm.org/10.1145/240518.240542
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#DesaiY96
2002-12-16
In-Place Power Optimization for LUT-Based FPGAs.
718-721
1998
DAC
http://doi.acm.org/10.1145/277044.277224
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KumthekarBMS98
2006-02-10
Large-scale SOP minimization using decomposition and functional properties.
149-154
2003
DAC
http://doi.acm.org/10.1145/775832.775872
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MishchenkoS03
2002-12-16
PALACE: A Kayout Generator for SCVS Logic Blocks.
468-473
1990
DAC
http://doi.acm.org/10.1145/123186.123341
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#JustASS90
2002-12-16
Parallel Logic Simulation of VLSI Systems.
139-143
1995
DAC
http://doi.acm.org/10.1145/217474.217520
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Chamberlain95
2002-12-16
Analog intellectual property: now? Or never?
181-182
2002
DAC
http://doi.acm.org/10.1145/513918.513964
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BrunoliHJKMM02
2002-12-16
COMP: A VHDL Composition System.
750-753
1989
DAC
http://doi.acm.org/10.1145/74382.74521
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JordanW89
2002-12-16
Algorithms for Approximate FSM Traversal.
25-30
1993
DAC
http://doi.acm.org/10.1145/157485.164555
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChoHMPS93
2002-12-16
Analysis and Design of Latch-Controlled Synchronous Digital Circuits.
111-117
1990
DAC
http://doi.acm.org/10.1145/123186.123237
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SakallahMO90
2006-02-10
Test application time and volume compression through seed overlapping.
732-737
2003
DAC
http://doi.acm.org/10.1145/775832.776020
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RaoBO03
2002-12-16
Compact Vector Generation for Accurate Power Simulation.
161-164
1996
DAC
http://doi.acm.org/10.1145/240518.240548
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HuangCCL96
2002-12-16
Fault-Simulation Based Design Error Diagnosis for Sequential Circuits.
632-637
1998
DAC
http://doi.acm.org/10.1145/277044.277207
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HuangCCL98
2002-12-16
On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping.
213-218
1993
DAC
http://doi.acm.org/10.1145/157485.164675
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#CongD93
2002-12-16
Power Estimation of Cell-Based CMOS Circuits.
433-438
1996
DAC
http://doi.acm.org/10.1145/240518.240601
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BoglioloBR96
2002-12-16
Depth optimal incremental mapping for field programmable gate arrays.
290-293
2000
DAC
http://doi.acm.org/10.1145/337292.337422
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CongH00
2002-12-16
Structural Gate Decomposition for Depth-Optimal Technology Mapping in LUT-based FPGA Design.
726-729
1996
DAC
http://doi.acm.org/10.1145/240518.240656
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#CongH96
2002-12-16
Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs.
356-361
1998
DAC
http://doi.acm.org/10.1145/277044.277144
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CongM98
2002-12-16
Interconnect Estimation and Dlanning for Deep Submicron Designs.
507-510
1999
DAC
http://doi.acm.org/10.1145/309847.309988
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CongP99
2002-12-16
Performance-Driven Multi-Level Clustering with Application to Hierarchical FPGA Mapping.
389-394
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/24_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#CongR01
2002-12-16
A Parallel Bottom-Up Clustering Algorithm with Applications to Circuit Partitioning in VLSI Design.
755-760
1993
DAC
http://doi.acm.org/10.1145/157485.165119
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#CongS93
2006-03-14
How to Obtain More Compactable Channel Routing Solutions.
663-666
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285843
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#CongW88
2002-12-16
FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits.
644-649
1997
DAC
http://doi.acm.org/10.1145/266021.266309
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#CongW97
2002-12-16
Optimal FPGA Mapping and Retiming with Efficient Initial State Computation.
330-335
1998
DAC
http://doi.acm.org/10.1145/277044.277139
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CongW98
2002-12-16
Delay-Optimal Technology Mapping for FPGAs with Heterogeneous LUTs.
704-707
1998
DAC
http://doi.acm.org/10.1145/277044.277221
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CongX98
2002-12-16
Routing tree construction under fixed buffer locations.
379-384
2000
DAC
http://doi.acm.org/10.1145/337292.337502
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CongY00
2006-02-10
Multilevel global placement with retiming.
208-213
2003
DAC
http://doi.acm.org/10.1145/775832.775887
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#CongY03
2002-12-16
Data Path Allocation Based on Bipartite Weighted Matching.
499-504
1990
DAC
http://doi.acm.org/10.1145/123186.123350
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#HuangCLH90
2002-12-16
Detection of Partially Simultaneously Alive Signals in Storage Requirement Estimation for Data Intensive Applications.
365-370
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/23_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KjeldsbergCA01
2002-12-16
Static noise analysis for digital integrated circuits in partially-depleted silicon-on-insulator technology.
239-242
2000
DAC
http://doi.acm.org/10.1145/337292.337403
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ShepardK00
2002-12-16
A rank-one update method for efficient processing of interconnect parasitics in timing analysis.
75-78
2000
DAC
http://doi.acm.org/10.1145/337292.337317
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LevySMW00
2006-09-29
A Register File and Scheduling Model for Application Specific Processor Synthesis.
35-40
1996
DAC
http://doi.acm.org/10.1145/240518.240525
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ErcanliP96
2002-12-16
Coordinated transformations for high-level synthesis of high performance microprocessor blocks.
898-903
2002
DAC
http://doi.acm.org/10.1145/513918.514140
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#GuptaSDGNKKR02
2002-12-16
Buffer Insertion for Noise and Delay Optimization.
362-367
1998
DAC
http://doi.acm.org/10.1145/277044.277145
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#AlpertDQ98
2002-12-16
Buffer Insertion with Accurate Gate and Interconnect Delay Computation.
479-484
1999
DAC
http://doi.acm.org/10.1145/309847.309983
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#AlpertDQ99
2002-12-16
Conflict Modelling and Instruction Scheduling in Code Generation for In-House DSP Cores.
593-598
1995
DAC
http://doi.acm.org/10.1145/217474.217595
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#TimmerSMJ95
2002-12-16
Multilevel Circuit Partitioning.
530-533
1997
DAC
http://doi.acm.org/10.1145/266021.266275
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#AlpertHK97
2002-12-16
Combining Theorem Proving and Trajectory Evaluation in an Industrial Environment.
538-541
1998
DAC
http://doi.acm.org/10.1145/277044.277189
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#AagaardJS98
2004-02-18
Parametric Representations of Boolean Constraints.
402-407
1999
DAC
http://doi.acm.org/10.1145/309847.309968
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#AagaardJS99
2002-12-16
Model-based dummy feature placement for oxide chemical-mechanical polishing manufacturability.
667-670
2000
DAC
http://doi.acm.org/10.1145/337292.337609
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#TianWB00
2002-12-16
<i>active basestations</i>based on dynamically reconfigurable hardware.
501-506
2000
DAC
http://doi.acm.org/10.1145/337292.337557
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BoulisS00
2002-12-16
Querying Part Hierarchies: A Knowledge-Based Approach.
328-334
1987
DAC
http://doi.acm.org/10.1145/37888.37938
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#RosenthalH87
2006-05-29
An Efficient Timing-Driven Global Routing Algorithm.
596-600
1993
DAC
http://doi.acm.org/10.1145/157485.165063
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HuangHCK93
2003-09-05
GABRIEL: A Design Environment for Programmable DSPs.
141-146
1989
DAC
http://doi.acm.org/10.1145/74382.74407
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LeeGHHBBG89
2006-02-10
A static pattern-independent technique for power grid voltage integrity verification.
99-104
2003
DAC
http://doi.acm.org/10.1145/775832.775861
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#KouroussisN03
2006-09-21
Timing Optimization on Mapped Circuits.
112-117
1991
DAC
http://doi.acm.org/10.1145/127601.127639
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#YoshikawaITSNK91
2002-12-16
Stochastic Optimization Approach to Transistor Sizing for CMOS VLSI Circuits.
36-40
1994
DAC
http://doi.acm.org/10.1145/196244.196265
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#MehrotraFL94
2002-12-16
Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs.
381-387
1995
DAC
http://doi.acm.org/10.1145/217474.217559
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MehrotraFS95
2002-12-16
Layout Optimization of CMOS Functional Cells.
544-551
1987
DAC
http://doi.acm.org/10.1145/37888.37969
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#MaiaszH87
2002-12-16
Optimal Clock Skew Scheduling Tolerant to Process Variations.
623-628
1996
DAC
http://doi.acm.org/10.1145/240518.240636
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#NevesF96
2006-09-05
Hierarchical Random Simulation Approach for the Verification of S/390 CMOS Multiprocessors.
89-94
1997
DAC
http://doi.acm.org/10.1145/266021.266041
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#WalterLDLMKW97
2002-12-16
Locating Functional Errors in Logic Circuits.
185-191
1989
DAC
http://doi.acm.org/10.1145/74382.74414
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Tamura89
2002-12-16
Performance-driven Placement of Cell Based IC's.
370-375
1989
DAC
http://doi.acm.org/10.1145/74382.74444
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JacksonK89
2002-12-16
An Improved Algorithm for Minimum-Area Retiming.
2-7
1997
DAC
http://doi.acm.org/10.1145/266021.266025
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#MaheshwariS97
2002-12-16
System Simulation of Printed Circuit Boards Including Packages and Connectors.
413-418
1990
DAC
http://doi.acm.org/10.1145/123186.123321
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#AdamiakAPRW90
2002-12-16
Statistical Estimation of the Switching Activity in Digital Circuits.
728-733
1994
DAC
http://doi.acm.org/10.1145/196244.196628
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#XakellisN94
2002-12-16
ADAPTS: A Digital Transient Simulation Strategy for Integrated Circuits.
26-31
1991
DAC
http://doi.acm.org/10.1145/127601.127618
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#SteinNGR91
2002-12-16
Timing Optimization By Gate Resizing And Critical Path Identification.
135-140
1993
DAC
http://doi.acm.org/10.1145/157485.164637
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#JoneF93
2002-12-16
A Coordinated Approach to Partitioning and Test Pattern Generation for Pseudoexhaustive Testing.
525-534
1989
DAC
http://doi.acm.org/10.1145/74382.74470
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#JoneP89
2002-12-16
A Communicating Petri Net Model for the Design of Concurrent Asynchronous Modules.
49-55
1994
DAC
http://doi.acm.org/10.1145/196244.196272
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#JongL94
2002-12-16
An Overview of the Penn State Design System.
516-522
1987
DAC
http://doi.acm.org/10.1145/37888.37965
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#OwensI87
2006-03-14
A Human Machine Interface for Silicon Compilation.
115-120
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285748
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#OdawaraTHOHO88
2002-12-16
Fast Online/Offline Netlist Compilation of Hierarchical Schematics.
822-825
1989
DAC
http://doi.acm.org/10.1145/74382.74539
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Jones89
2002-12-16
Global Stratgies for Electronic Design (Panel Abstract).
38
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Jones91
2006-03-14
Zero Delay versus Positive Delay in an Incremental Switch-Level Simulator.
424-427
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149558
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Jones92
2006-01-31
CYCLONE: automated design and layout of RF LC-oscillators.
11-14
2000
DAC
http://doi.acm.org/10.1145/337292.337301
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#RanterMPVSGS00
2006-03-14
A New Approach to the Pin Assignment Problem.
566-572
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285822
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#YaoYL88
2002-12-16
Validation with Guided Search of the State Space.
599-604
1998
DAC
http://doi.acm.org/10.1145/277044.277201
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#YangD98
2002-12-16
iSMILE: A Novel Circuit Simulation Program with Emphasis on New Device Model Development.
630-633
1989
DAC
http://doi.acm.org/10.1145/74382.74492
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#YangK89
2002-12-16
A multi-interval Chebyshev collocation method for efficient high-accuracy RF circuit simulation.
178-183
2000
DAC
http://doi.acm.org/10.1145/337292.337378
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YangP00
2002-12-16
Time-domain steady-state simulation of frequency-dependent components using multi-interval Chebyshev method.
504-509
2002
DAC
http://doi.acm.org/10.1145/513918.514046
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#YangP02
2002-12-16
Lazy symbolic model checking.
35-38
2000
DAC
http://doi.acm.org/10.1145/337292.337307
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YangT00
2002-12-16
CGaAs PowerPC FXU.
730-735
2000
DAC
http://doi.acm.org/10.1145/337292.337760
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#DrakeBGKPGSB00
2002-12-16
The EDA Start-up Experience: The First Product (Panel).
656-657
1998
DAC
http://doi.acm.org/10.1145/277044.277211
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Desai98
2002-12-16
Experiences in Functional Validation of a High Level Synthesis System.
194-201
1993
DAC
http://doi.acm.org/10.1145/157485.164667
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#VemuriMSKRV93
2002-12-16
Representation of Function Variants for Embedded System Optimization and Synthesis.
517-522
1999
DAC
http://doi.acm.org/10.1145/309847.309990
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#RichterZETT99
2002-12-16
RED: Resistance Extraction for Digital Simulation.
570-573
1987
DAC
http://doi.acm.org/10.1145/37888.37976
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#StarkH87
2006-03-14
Analyzing CMOS Power Supply Networks Using Ariel.
460-464
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285804
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#StarkH88
2002-12-16
Comparison of CMOS PLA and polycell representations of control logic.
638-642
1986
DAC
http://doi.acm.org/10.1145/318013.318128
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Gerveshi86
2002-12-16
On metrics for comparing routability estimation methods for FPGAs.
70-75
2002
DAC
http://doi.acm.org/10.1145/513918.513937
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KannanBB02
2002-12-16
Corolla Based Circuit Partitioning and Resynthesis.
607-612
1990
DAC
http://doi.acm.org/10.1145/123186.123416
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#DeyBK90
2002-12-16
Reducing Power in High-Performance Microprocessors.
732-737
1998
DAC
http://doi.acm.org/10.1145/277044.277227
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#TiwariSRMPB98
2006-06-02
A Methodology and Algorithms for Post-Placement Delay Optimization.
327-332
1994
DAC
http://doi.acm.org/10.1145/196244.196399
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KannanSF94
2002-12-16
Life at the end of CMOS scaling (and beyond) (panel session) (abstract only).
85
2000
DAC
http://doi.acm.org/10.1145/337292.337320
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#RutenbarHHC00
2002-12-16
Modeling the Effects of Temporal Proximity of Input Transitions on Gate Propagation Delay and Transition Time.
617-622
1996
DAC
http://doi.acm.org/10.1145/240518.240635
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChandramouliS96
2002-12-16
Incremental Tree Height Reduction for High Level Synthesis.
770-774
1991
DAC
http://doi.acm.org/10.1145/127601.127767
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#NicolauP91
2002-12-16
Modeling Design Tasks and Tools: The Link Between Product and Flow Model.
564-569
1997
DAC
http://doi.acm.org/10.1145/266021.266286
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SchurmannA97
2006-02-10
Data communication estimation and reduction for reconfigurable systems.
616-621
2003
DAC
http://doi.acm.org/10.1145/775832.775987
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#KaplanBK03
2002-12-16
Speeding Up Control-Dominated Applications through Microarchitectural Customizations in Embedded Processors.
512-517
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/32_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#PetrovO01
2006-02-10
Death, taxes and failing chips.
343-347
2003
DAC
http://doi.acm.org/10.1145/775832.775921
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Visweswariah03
2002-12-16
A parameter-driven router.
810-818
1986
DAC
http://doi.acm.org/10.1145/318013.318165
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BobbaS86
2006-03-14
Solving the State Assignment Problem for Signal Transition Graphs.
568-572
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149631
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LavagnoMBS92
2002-12-16
Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool.
254-260
1995
DAC
http://doi.acm.org/10.1145/217474.217538
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LavagnoMSS95
2002-12-16
Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback.
327-332
1999
DAC
http://doi.acm.org/10.1145/309847.309945
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#VandecappelleMBCV99
2002-12-16
On output response compression in the presence of unknown output values.
255-258
2002
DAC
http://doi.acm.org/10.1145/513918.513985
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#PomeranzKR02
2002-12-16
Automatic Test Generation Using Quadratic 0-1 Programming.
654-659
1990
DAC
http://doi.acm.org/10.1145/123186.123430
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChakradharAB90
2002-12-16
Design Automation Standards - Perspectives from a Down-the-Road End User.
563-564
1987
DAC
http://doi.acm.org/10.1145/37888.37973
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Pachter87
2002-12-16
An Exact Algorithm for Selecting Partial Scan Flip-Flops.
81-86
1994
DAC
http://doi.acm.org/10.1145/196244.196285
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChakradharBA94
2002-12-16
Synthesis Tools for Mixed-Signal ICs: Progress on Frontend and Backend Strategies.
298-303
1996
DAC
http://doi.acm.org/10.1145/240518.240573
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#CarleyGRS96
2002-12-16
On Improving Fault Diagnosis for Synchronous Sequential Circuits.
504-509
1994
DAC
http://doi.acm.org/10.1145/196244.196517
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#PomeranzR94a
2002-12-16
NEST: A Non-Enumerative Test Generation Method for Path Delay Faults in Combinational Circuits.
439-445
1993
DAC
http://doi.acm.org/10.1145/157485.164967
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#PomeranzRU93
2006-03-14
Finite State Machine Synthesis with Fault Tolerant Test Function.
562-567
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149630
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChakradharKA92
2006-06-20
A Module Generator for Optimized CMOS Buffers.
245-250
1989
DAC
http://doi.acm.org/10.1145/74382.74424
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Al-KhaliliZA89
2002-12-16
Watermarking Techniques for Intellectual Property Protection.
776-781
1998
DAC
http://doi.acm.org/10.1145/277044.277240
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KahngLMMMPTWW98
2002-12-16
The Simulation and Design of Integrated Inductors.
988-993
1999
DAC
http://doi.acm.org/10.1145/309847.310111
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BelkFTBT99
2002-12-16
Formal verification of module interfaces against real time specifications.
141-145
2002
DAC
http://doi.acm.org/10.1145/513918.513954
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChakrabartiDCB02
2002-12-16
Boolean Matching of Sequential Elements.
691-697
1994
DAC
http://doi.acm.org/10.1145/196244.196617
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KrishnamoorthyM94
2006-03-14
Data Path Allocation using an Extended Binding Model.
279-284
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149445
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KrishnamoorthyN92
2002-12-16
The Sea-of-Wires Array Aynthesis System.
188-193
1993
DAC
http://doi.acm.org/10.1145/157485.164664
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChenCHK93
2002-12-16
Accurate Estimation of Combinational Circuit Activity.
618-622
1995
DAC
http://doi.acm.org/10.1145/217474.217599
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MehtaBOI95
2002-12-16
Rapid Development of Optimized DSP Code from a High Level Description Through Software Estimations.
823-826
1999
DAC
http://doi.acm.org/10.1145/309847.310077
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PegatoquetGAB99
2002-12-16
Optimal Wire-Sizing Formular Under the Elmore Delay Model.
487-490
1996
DAC
http://doi.acm.org/10.1145/240518.240611
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChenCW96a
2002-12-16
Estimating Architectural Resources and Performance for High-Level Synthesis Applications.
355-360
1993
DAC
http://doi.acm.org/10.1145/157485.164929
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#SharmaJ93a
2004-10-07
The design and use of simplepower: a cycle-accurate energy estimation tool.
340-345
2000
DAC
http://doi.acm.org/10.1145/337292.337436
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YeVKI00
2002-12-16
Hole analysis for functional coverage data.
807-812
2002
DAC
http://doi.acm.org/10.1145/513918.514119
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LachishMUZ02
2002-12-16
The Clinton/Gore Technology Policies.
331-335
1993
DAC
http://doi.acm.org/10.1145/157485.164918
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Nurnberger93
2006-02-10
Automatic trace analysis for logic of constraints.
460-465
2003
DAC
http://doi.acm.org/10.1145/775832.775952
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChenHBW03
2006-07-10
Nanometer design: what hurts next...?
242
2002
DAC
http://doi.acm.org/10.1145/513918.513981
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BrodersenHKKLK02
2002-12-16
TIM: A Timing Package for Two-Phase, Level-Clocked Circuitry.
497-502
1993
DAC
http://doi.acm.org/10.1145/157485.164998
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#PapaefthymiouR93
2006-03-14
Twenty-Five Years of Electronic Design Automation.
2
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.286213
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Newton88
2002-12-16
Standards, Openness and Design Environments in Electronic Design Automation (Panel Abstract).
497-498
1990
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Newton90
2002-12-16
Framework Standards: How Important are They? (Panel Abstract).
315
1991
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Newton91
2002-12-16
Technical Challenges of IP and System-on-Chip: The ASIC Vendor Perspective (Panel).
501
1998
DAC
http://doi.acm.org/10.1145/277044.277181
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Newton98
2002-12-16
Can BDDs compete with SAT solvers on bounded model checking?
117-122
2002
DAC
http://doi.acm.org/10.1145/513918.513949
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#CabodiCQ02
2002-12-16
Auxiliary Variables for Extending Symbolic Traversal Techniques to Data Paths.
289-293
1994
DAC
http://doi.acm.org/10.1145/196244.196380
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#CabodiCQ94
2002-12-16
Improving Symbolic Traversals by Means of Activity Profiles.
306-311
1999
DAC
http://doi.acm.org/10.1145/309847.309938
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CabodiCQ99
2002-12-16
An Efficient Equivalence Checker for Combinational Circuits.
629-634
1996
DAC
http://doi.acm.org/10.1145/240518.240637
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Matsunaga96
2002-12-16
Circuit Extraction on a Message-Based Multiprocessor.
260-265
1990
DAC
http://doi.acm.org/10.1145/123186.123270
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Tonkin90
2002-12-16
Optimizing sequential verification by retiming transformations.
601-606
2000
DAC
http://doi.acm.org/10.1145/337292.337591
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CabodiQS00
2002-12-16
A Practical Approach to Multiple-Class Retiming.
237-242
1999
DAC
http://doi.acm.org/10.1145/309847.309920
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#EcklMZL99
2006-05-31
Via Minimization for Gridless Layouts.
159-165
1987
DAC
http://doi.acm.org/10.1145/37888.37912
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#NaclerieMN87
2002-12-16
Practical iterated fill synthesis for CMP uniformity.
671-674
2000
DAC
http://doi.acm.org/10.1145/337292.337610
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChenKRZ00
2002-12-16
Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization.
388-391
1998
DAC
http://doi.acm.org/10.1145/277044.277150
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#PandaDENB98
2002-12-16
Coping with buffer delay change due to power and ground noise.
860-865
2002
DAC
http://doi.acm.org/10.1145/513918.514131
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChenMB02a
2002-12-16
A Resynthesis Approach for Network Optimization.
458-463
1991
DAC
http://doi.acm.org/10.1145/127601.127712
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChenMMF91
2002-12-16
Performance-Driven Steiner Tree Algorithm for Global Routing.
177-181
1993
DAC
http://doi.acm.org/10.1145/157485.164658
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HongXKCH93
2002-12-16
Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process.
185-188
1996
DAC
http://doi.acm.org/10.1145/240518.240553
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#JohnsonCB96
2002-12-16
The Jini Architecture: Dynamic Services in a Flexible Network.
157-162
1999
DAC
http://doi.acm.org/10.1145/309847.309906
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Arnold99
2006-03-14
Benchmarks for Layout Synthesis - Evolution and Current Status.
265-270
1991
DAC
http://doi.acm.org/10.1145/127601.127678
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Kozminski91
2002-12-16
Fast, Small, and Static Combinatorial CMOS Circuits.
451-458
1987
DAC
http://doi.acm.org/10.1145/37888.37955
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Serlet87
2002-12-16
Validation of an Architectural Level Power Analysis Technique.
242-245
1998
DAC
http://doi.acm.org/10.1145/277044.277106
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ChenOIB98
2002-12-16
FSM Decomposition Revisited: Algebraic Structure Theory Applied to MCNC Benchmark FSMs.
182-185
1991
DAC
http://doi.acm.org/10.1145/127601.127661
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#GeigerM91
2002-12-16
Leakage Control with Efficient Use of Transistor Stacks in Single Threshold CMOS.
442-445
1999
DAC
http://doi.acm.org/10.1145/309847.309976
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#JohnsonSR99
2003-06-26
An energy saving strategy based on adaptive loop parallelization.
195-200
2002
DAC
http://doi.acm.org/10.1145/513918.513968
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KadayifKK02
2002-12-16
An integer linear programming based approach for parallelizing applications in On-chip multiprocessors.
703-708
2002
DAC
http://doi.acm.org/10.1145/513918.514096
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KadayifKS02
2006-05-12
Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios.
367-370
1996
DAC
http://doi.acm.org/10.1145/240518.240588
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#TauschW96
2006-05-12
A Multiscale Method for Fast Capacitance Extraction.
537-542
1999
DAC
http://doi.acm.org/10.1145/309847.309994
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#TauschW99
2002-12-16
Layout Design Methodologies for Sub-Wavelength Manufacturing.
85-88
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/07_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#RiegerMP01
2006-02-10
A scalable software-based self-test methodology for programmable processors.
548-553
2003
DAC
http://doi.acm.org/10.1145/775832.775973
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ChenRRD03
2002-12-16
An instruction-level functionally-based energy estimation model for 32-bits microprocessors.
346-351
2000
DAC
http://doi.acm.org/10.1145/337292.337437
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BrandoleseFSS00
2002-12-16
A Tool for Performance Estimation of Networked Embedded End-systems.
257-262
1998
DAC
http://doi.acm.org/10.1145/277044.277116
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KalavadeM98
2002-12-16
DFBT: A Design-for-Testability Method Based on Balance Testing.
351-357
1994
DAC
http://doi.acm.org/10.1145/196244.196419
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChakrabartyH94
2002-12-16
New Performance-Driven FPGA Routing Algorithms.
562-567
1995
DAC
http://doi.acm.org/10.1145/217474.217589
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#AlexanderR95
2002-12-16
An Expert System Application in Semicustom VLSI Design.
679-688
1987
DAC
http://doi.acm.org/10.1145/37888.37997
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Steele87
2006-02-10
Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations.
856-859
2003
DAC
http://doi.acm.org/10.1145/775832.776047
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#FerzliN03
2004-12-21
Benchmarking An Interdisciplinary Concurrent Design Methodology for Electronic/Mechanical Systems.
514-519
1995
DAC
http://doi.acm.org/10.1145/217474.217580
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SmailagicSAKMS95
2002-12-16
A System for Incremental Synthesis to Gate-Level and Reoptimization Following RTL Design Changes.
441-446
1994
DAC
http://doi.acm.org/10.1145/196244.196461
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#PrasadAB94
2002-12-16
A Synthesis-Based Test Generation and Compaction Algorithm for Multifaults.
359-365
1991
DAC
http://doi.acm.org/10.1145/127601.127694
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DevadasKM91
2002-12-16
Architecture Synthesis of High-Performance Application-Specific Processors.
542-548
1990
DAC
http://doi.acm.org/10.1145/123186.123398
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#BreternitzS90
2002-12-16
A General Purpose Multiple Way Partitioning Algorithm.
421-426
1991
DAC
http://doi.acm.org/10.1145/127601.127706
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#YehCL91
2002-12-16
On the Verification of Sequential Machines at Differing Levels of Abstraction.
271-276
1987
DAC
http://doi.acm.org/10.1145/37888.37929
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#DevadasMN87
2002-12-16
Why is ATPG Easy?
22-28
1999
DAC
http://doi.acm.org/10.1145/309847.309857
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PrasadCK99
2002-12-16
Transmission Line Synthesis.
358-363
1995
DAC
http://doi.acm.org/10.1145/217474.217555
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KrauterGWP95
2006-02-10
Symbolic representation with ordered function templates.
431-435
2003
DAC
http://doi.acm.org/10.1145/775832.775946
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#GoelHB03
2002-12-16
Deriving a simulation input generator and a coverage metric from a formal specification.
801-806
2002
DAC
http://doi.acm.org/10.1145/513918.514118
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ShimizuD02
2002-12-16
Life is CMOS: why chase the life after?
78-83
2002
DAC
http://doi.acm.org/10.1145/513918.513941
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SeryBD02
2002-12-16
Creator: General and Efficient Multilevel Concurrent Fault Simulation.
160-163
1991
DAC
http://doi.acm.org/10.1145/127601.127653
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MontessoroG91
2002-12-16
MONSTR: A Complete Thermal Simulator of Electronic Systems.
570-575
1994
DAC
http://doi.acm.org/10.1145/196244.196559
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#KovalFSD94
2002-12-16
VDHL Development System and Coding Standard.
777-782
1996
DAC
http://doi.acm.org/10.1145/240518.240665
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SahmMPSS96
2002-12-16
Realization of a Programmable Parallel DSP for High Performance Image Processing Applications.
56-61
1998
DAC
http://doi.acm.org/10.1145/277044.277055
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#WittenburgHKOBLKP98
2006-03-14
Automated Design Decision Support System.
506-511
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149577
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BeggsSCE92
2002-12-16
An Approach for Extracting RT Timing Information to Annotate Algorithmic VHDL Specifications.
678-683
1999
DAC
http://doi.acm.org/10.1145/309847.310026
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HansenNR99
2006-02-10
Dynamic global buffer planning optimization based on detail block locating and congestion analysis.
806-811
2003
DAC
http://doi.acm.org/10.1145/775832.776036
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MaHDCCCG03
2002-12-16
Formal Verification of FIRE: A Case Study.
173-177
1997
DAC
http://doi.acm.org/10.1145/266021.266059
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#JangQKP97
2002-12-16
Optimized Code Generation of Multiplication-free Linear Transforms.
41-46
1996
DAC
http://doi.acm.org/10.1145/240518.240526
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#MehendaleVS96
2002-12-16
Unified tools for SoC embedded systems: mission critical, mission impossible or mission irrelevant?
479
2002
DAC
http://doi.acm.org/10.1145/513918.514039
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SmithNMCFKMB02
2004-06-02
Efficient Algorithms for Extracting the K most Critical Paths in Timing Analysis.
649-654
1989
DAC
http://doi.acm.org/10.1145/74382.74497
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#YenDG89
2006-03-14
A Path Selection Algorithm for Timing Analysis.
720-723
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285855
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#YenGD88
2002-12-16
Implementation of an Efficient Parallel BDD Package.
641-644
1996
DAC
http://doi.acm.org/10.1145/240518.240639
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#StornettaB96
2002-12-16
Effects of FPGA Architecture on FPGA Routing.
574-578
1995
DAC
http://doi.acm.org/10.1145/217474.217592
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Trimberger95
2002-12-16
Developing a Concurrent Methodology for Standard-Cell Library Generation.
333-336
1997
DAC
http://doi.acm.org/10.1145/266021.266129
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#BaltusVADM97
2002-12-16
Hierarchical analysis of power distribution networks.
150-155
2000
DAC
http://doi.acm.org/10.1145/337292.337355
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ZhaoPSECB00
2002-12-16
Timing Verification on a 1.2M-Device Full-Custom CMOS Design.
551-554
1991
DAC
http://doi.acm.org/10.1145/127601.127731
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#PanBGGY91
2002-12-16
Congestion Driven Quadratic Placement.
275-278
1998
DAC
http://doi.acm.org/10.1145/277044.277121
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ParakhBS98
2002-12-16
A Unified DFT Architecture for Use with IEEE 1149.1 and VSIA/IEEE P1500 Compliant Test Access Controllers.
53-58
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/05_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Dervisoglu01
2002-12-16
MHERTZ: A New Optimization Algorithm for Floorplanning and Global Routing.
107-110
1990
DAC
http://doi.acm.org/10.1145/123186.123236
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#BrasenB90
2002-12-16
Exact Width and Height Minimization of CMOS Cells.
487-493
1991
DAC
http://doi.acm.org/10.1145/127601.127717
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#MaziaszH91
2002-12-16
A 10 Mbit/s Upstream Cable Modem with Automatic equalization.
337-340
1999
DAC
http://doi.acm.org/10.1145/309847.309950
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#SchaumontCVE99
2002-12-16
An Efficient Multilayer MCM Router Based on Four-Via Routing.
590-595
1993
DAC
http://doi.acm.org/10.1145/157485.165059
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KhooC93
2002-12-16
Estimation of the likelihood of capacitive coupling noise.
653-658
2002
DAC
http://doi.acm.org/10.1145/513918.514085
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#VrudhulaBS02
2006-10-04
Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006
DAC
2006
1-59593-381-6
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2006.html
2006-06-19
CINNAMON: coupled integration and nodal analysis of MOS networks.
179-185
1986
DAC
http://doi.acm.org/10.1145/318013.318042
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#VidigalND86
2002-12-16
Retargetable Self-Test Program Generation Using Constraint Logic Programming.
605-611
1995
DAC
http://doi.acm.org/10.1145/217474.217597
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#BiekerM95
2006-05-11
Noise-Constrained Performance Optimization by Simultaneous Gate and Wire Sizing Based on Lagrangian Relaxation.
90-95
1999
DAC
http://doi.acm.org/10.1145/309847.309882
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#JiangJC99
2002-12-16
Compatible Class Encoding in Hyper-Function Decomposition for FPGA Synthesis.
712-717
1998
DAC
http://doi.acm.org/10.1145/277044.277223
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#JiangJH98
2002-12-16
Static Scheduling of Multiple Asynchronous Domains For Functional Verification.
647-652
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/40_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KudlugiST01
2002-12-16
Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator.
510-515
1998
DAC
http://doi.acm.org/10.1145/277044.277184
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HanonoD98
2002-12-16
ESP: A New Standard Cell Placement Package Using Simulated Evolution.
60-66
1987
DAC
http://doi.acm.org/10.1145/37888.37897
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#KlingB87
2002-12-16
Optimization by Simulated Evolution with Applications to Standard Cell Placement.
20-25
1990
DAC
http://doi.acm.org/10.1145/123186.123193
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#KlingB90
2006-02-10
Generalized cofactoring for logic function evaluation.
155-158
2003
DAC
http://doi.acm.org/10.1145/775832.775873
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#JiangMB03
2006-02-24
Interprocedural optimizations for improving data cache performance of array-intensive embedded applications.
887-892
2003
DAC
http://doi.acm.org/10.1145/775832.776054
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ZhangCKK03
2006-05-04
A Topological Search Algorithm for ATPG.
502-508
1987
DAC
http://doi.acm.org/10.1145/37888.37963
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#KirklandM87
2002-12-16
An Analytical Approach to Floorplan Design and Optimization.
187-192
1990
DAC
http://doi.acm.org/10.1145/123186.123255
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SutanthavibulSR90
2002-12-16
Rectification of Multiple Logic Design Errors in Multiple Output Circuits.
212-217
1994
DAC
http://doi.acm.org/10.1145/196244.196356
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#TomitaYSH94
2002-12-16
Database Support for Evolving Design Objects.
258-263
1989
DAC
http://doi.acm.org/10.1145/74382.74426
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Biliris89
2002-12-16
A Static Estimation Technique of Power Sensitivity in Logic Circuits.
215-219
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/14_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KimCL01
2002-12-16
Flow graph representation.
503-509
1986
DAC
http://doi.acm.org/10.1145/318013.318093
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#OrailogluG86
2002-12-16
Function-level power estimation methodology for microprocessors.
810-813
2000
DAC
http://doi.acm.org/10.1145/337292.337786
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#QuKUP00
2002-12-16
On ILP Formulations for Built-In Self-Testable Data Path Synthesis.
742-747
1999
DAC
http://doi.acm.org/10.1145/309847.310048
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KimHT99
2002-12-16
Arithmetic Optimization Using Carry-Save-Adders.
433-438
1998
DAC
http://doi.acm.org/10.1145/277044.277166
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KimJT98
2002-12-16
Synthesis of Application Specific Programmable Processors.
353-358
1997
DAC
http://doi.acm.org/10.1145/266021.266164
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KimKP97
2006-03-14
A New Hierarchical Layout Compactor Using Simplified Graph Models.
323-326
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149473
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KimLS92
2002-12-16
Domino logic synthesis minimizing crosstalk.
280-285
2000
DAC
http://doi.acm.org/10.1145/337292.337419
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KimNK00
2006-03-14
Experiments with a Performance Driven Module Generator.
687-690
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149675
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KimOI92
2006-03-14
Automatic Insertion of BIST Hardware Using VHDL.
9-15
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285733
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#KimTH88
2002-12-16
Design and Analysis of Power Distribution Networks in PowerPC Microprocessors.
738-743
1998
DAC
http://doi.acm.org/10.1145/277044.277229
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#DharchoudhuryPBVTB98
2002-12-16
A Sparse Image Method for BEM Capacitance Extraction.
357-362
1996
DAC
http://doi.acm.org/10.1145/240518.240586
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#KrauterXDP96
2002-12-16
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology.
80-86
1991
DAC
http://doi.acm.org/10.1145/127601.127632
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChengDK91
2002-12-16
A True Single-Phase 8-bit Adiabatic Multiplier.
758-763
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/44_5.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KimZP01
2002-12-16
Latency and Latch Count Minimization in Wave Steered Circuits.
383-388
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/24_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SinghMM01
2002-12-16
Parallelizing DSP Nested Loops on Reconfigurable Architectures using Data Context Switching.
273-276
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/18_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Bondalapati01
2002-12-16
Retargetable binary utilities.
331-336
2002
DAC
http://doi.acm.org/10.1145/513918.514004
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AbbaspourZ02
2002-12-16
Efficient building block based RTL code generation from synchronous data flow graphs.
552-555
2000
DAC
http://doi.acm.org/10.1145/337292.337576
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#HorstmannshoffM00
2002-12-16
Interactive co-design of high throughput embedded multimedia.
328-331
2000
DAC
http://doi.acm.org/10.1145/337292.337430
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#OmnesFC00
2002-12-16
Selective Pseudo Scan: Combinational ATPG with Reduced Scan in a Full Custom RISC Microprocessor.
550-555
1993
DAC
http://doi.acm.org/10.1145/157485.165030
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#GanapathyA93
2002-12-16
Customers, Vendors, and Universities: Determining the Future of EDA Together (Panel).
1
1998
DAC
http://doi.acm.org/10.1145/277044.277045
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#Pennino98
2002-12-16
An Object-Oriented Kernel for an Integrated Design and Process Planning System.
437-443
1990
DAC
http://doi.acm.org/10.1145/123186.123330
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#FeghhiMK90
2006-03-14
The IBM Engineering Verification Engine.
218-224
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285766
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BeeceDPV88
2002-12-16
PAMS: An Expert System for Parameterized Module Synthesis.
666-671
1987
DAC
http://doi.acm.org/10.1145/37888.37995
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#CesearIT87
2002-12-16
Enhancing test efficiency for delay fault testing using multiple-clocked schemes.
371-374
2002
DAC
http://doi.acm.org/10.1145/513918.514013
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LiouWCDMKW02
2006-03-14
Clustering Based Simulated Annealing for Standard Cell Placement.
312-317
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285781
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#MallelaG88
2002-12-16
Layout Compaction with Attractive and Repulsive Constraints.
369-374
1990
DAC
http://doi.acm.org/10.1145/123186.123308
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Onozawa90
2002-12-16
CMOS: a paradigm for low power wireless?
836-841
2002
DAC
http://doi.acm.org/10.1145/513918.514125
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SteyaertV02
2002-12-16
Efficient placement algorithms optimizing delay for high-speed ECL masterslice LSIs.
404-410
1986
DAC
http://doi.acm.org/10.1145/318013.318079
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#OgawaISTKYC86
2002-12-16
Delay Fault Coverage and Performance Tradeoffs.
446-452
1993
DAC
http://doi.acm.org/10.1145/157485.164970
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LamSBS93
2002-12-16
Performance Analysis and Optimization of Schedules for Conditional and Loop-Intensive Specifications.
491-496
1994
DAC
http://doi.acm.org/10.1145/196244.196477
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BhattacharyaDB94a
2002-12-16
Process Multi-Circuit Optimization.
382-387
1998
DAC
http://doi.acm.org/10.1145/277044.277149
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LokanathanB98
2002-12-16
Performance optimized floor planning by graph planarization.
116-121
1989
DAC
http://doi.acm.org/10.1145/74382.74403
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LokanathanK89
2002-12-16
Efficient Simulation of Bipolar Digital ICs.
32-37
1991
DAC
http://doi.acm.org/10.1145/127601.127620
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#VisweswariahR91
2002-12-16
Incremental Event-Driven Simulation of Digital FET Circuits.
737-741
1993
DAC
http://doi.acm.org/10.1145/157485.165111
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#VisweswariahW93
2002-12-16
Delay-Optimal Technology Mapping by DAG Covering.
348-351
1998
DAC
http://doi.acm.org/10.1145/277044.277142
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KukimotoBS98
2002-12-16
Exploring SOI Device Structures and Interconnect Architectures for 3-Dimensional Integration.
846-851
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/50_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ZhangRKJ01
2002-12-16
A Quick Safari Through the Reconfiguration Jungle.
172-177
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/12_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SchaumontVKS01
2002-12-16
Minimum Length Synchronizing Sequences of Finite State Machine.
463-468
1993
DAC
http://doi.acm.org/10.1145/157485.164978
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#RhoSP93
2006-02-10
Improved global routing through congestion estimation.
28-31
2003
DAC
http://doi.acm.org/10.1145/775832.775842
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#HadsellM03
2002-12-16
Automating the generation of interactive interfaces.
152-158
1986
DAC
http://doi.acm.org/10.1145/318013.318037
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#HammerRRHT86
2006-05-23
Characterization and Parameterized Random Generation of Digital Circuits.
94-99
1996
DAC
http://doi.acm.org/10.1145/240518.240537
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HuttonGRC96
2002-12-16
A practical approach to parasitic extraction for design of multimillion-transistor integrated circuits.
69-74
2000
DAC
http://doi.acm.org/10.1145/337292.337315
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#YouVMX00
2002-12-16
Knowledge Based Approach for the Verification of CAD Database Generated by an Automated Schematic Capture System.
713-720
1987
DAC
http://doi.acm.org/10.1145/37888.38002
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#TouKFH87
2002-12-16
Subwavelength Lithography: How Will It Affect Your Design Flow? (Panel).
798
1999
DAC
http://doi.acm.org/10.1145/309847.310070
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KahngPGPG99
2002-12-16
An Algorithm for Diagnosing Two-Line Bridging Faults in Combinational Circuits.
520-524
1993
DAC
http://doi.acm.org/10.1145/157485.165012
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChakravartyG93
2006-03-14
Algorithms for Current Monitor Based Diagnosis of Bridging and Leakage Faults.
353-356
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149486
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ChakravartyL92
2002-12-16
Semantics of CAD objects for generalized databases.
34-40
1986
DAC
http://doi.acm.org/10.1145/318013.318020
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#RieuN86
2003-08-26
Component-based design approach for multicore SoCs.
789-794
2002
DAC
http://doi.acm.org/10.1145/513918.514115
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#CesarioBGLNPYJD02
2002-12-16
Am Embedded System Case Study: The Firm Ware Development Environment for a Multimedia Audio Processor.
780-785
1997
DAC
http://doi.acm.org/10.1145/266021.266373
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LiemCSPJGLFB97
2002-12-16
Energy-efficient communication protocols.
824-829
2002
DAC
http://doi.acm.org/10.1145/513918.514123
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChiasseriniNS02
2002-12-16
Implicit Computation of Minimum-Cost Feedback-Vertex Sets for Partial Scan and Other Applications.
77-80
1994
DAC
http://doi.acm.org/10.1145/196244.196283
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#AsharM94
2002-12-16
CLIP: An Optimizing Layout Generator for Two-Dimensional CMOS Cells.
452-455
1997
DAC
http://doi.acm.org/10.1145/266021.266198
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GuptaH97
2002-12-16
Power Macromodeling for High Level Power Estimation.
365-370
1997
DAC
http://doi.acm.org/10.1145/266021.266171
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#GuptaN97
2002-12-16
OTTER: Optimal Termination of Transmission Lines Excluding Radiation.
640-645
1994
DAC
http://doi.acm.org/10.1145/196244.196600
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#GuptaP94
2002-12-16
Automated Multi-Cycle Symbolic Timing Verification of Microprocessor-Based Designs.
113-119
1994
DAC
http://doi.acm.org/10.1145/196244.196299
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#GuptaS94
2002-12-16
Mesh Arrays and LOGICIAN: A Tool for Their Efficient Generation.
357-362
1987
DAC
http://doi.acm.org/10.1145/37888.37942
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#BeekmanOI87
2002-12-16
Automated Composition of Hardware Components.
14-19
1998
DAC
http://doi.acm.org/10.1145/277044.277048
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#SmithM98
2002-12-16
Behavioral Synthesis Methodology for HDL-Based Specification and Validation.
286-291
1995
DAC
http://doi.acm.org/10.1145/217474.217543
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KnappLMM95
2006-03-14
Optimal Allocation and Binding in High-Level Synthesis.
120-123
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110375
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#RimJL92
2002-12-16
Test Program Generation for Functional Verification of PowerPC Processors in IBM.
279-285
1995
DAC
http://doi.acm.org/10.1145/217474.217542
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#AharonGLLMMMS95
2002-12-16
Computing the Maximum Power Cycles of a Sequential Circuit.
23-28
1995
DAC
http://doi.acm.org/10.1145/217474.217501
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MannePBHSMP95
2002-12-16
Automatic generation of self-test programs - a new feature of the MIMOLA design system.
378-384
1986
DAC
http://doi.acm.org/10.1145/318013.318074
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Kruger86
2002-12-16
Energy Efficient Fixed-Priority Scheduling for Real-Time Systems on Variable Voltage Processors.
828-833
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/49_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#QuanH01
2002-12-16
A database interface for an integrated CAD system.
760-767
1986
DAC
http://doi.acm.org/10.1145/318013.318157
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#JullienLL86
2002-12-16
Rectilinear Steiner Trees with Minimum Elmore Delay.
381-386
1994
DAC
http://doi.acm.org/10.1145/196244.196428
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BoeseKMR94
2002-12-16
IP-based Design Methodology.
43
1999
DAC
http://doi.acm.org/10.1145/309847.309864
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Gajski99
2002-12-16
TimberWolf3.2: a new standard cell placement and global routing package.
432-439
1986
DAC
http://doi.acm.org/10.1145/318013.318083
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#SechenS86
2006-06-01
Automatic Generation of Behavioral Models from Switch-Level Descriptions.
179-184
1989
DAC
http://doi.acm.org/10.1145/74382.74413
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BlaauwSMAR89
2002-12-16
Synthesis of Multiple-Input Change Asynchronous Finite state Machines.
309-314
1991
DAC
http://doi.acm.org/10.1145/127601.127686
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LaddB91
2006-03-14
HLSIM - A New Hierarchical Logic Simulator and Netlist Converter.
432-437
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149561
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#ZeinED92
2002-12-16
A Gridless Router for Industrial Design Rules.
626-631
1990
DAC
http://doi.acm.org/10.1145/123186.123422
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SchieleKJK90
2006-03-14
An Engineering Environment for Hardware/Software Co-Simulation.
129-134
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110367
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BeckerST92
2006-02-10
Efficient compression and application of deterministic patterns in a logic BIST architecture.
566-569
2003
DAC
http://doi.acm.org/10.1145/775832.775976
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#WohlWPA03
2002-12-16
Effective diagnostics through interval unloads in a BIST environment.
249-254
2002
DAC
http://doi.acm.org/10.1145/513918.513984
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#WohlWPM02
2002-12-16
Wave Steering in YADDs: A Novel Non-Iterative Synthesis and Layout Technique.
466-471
1999
DAC
http://doi.acm.org/10.1145/309847.309981
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#MukherjeeSML99
2002-12-16
Linking BDD-Based Symbolic Evaluation to Interactive Theorem-Proving.
469-474
1993
DAC
http://doi.acm.org/10.1145/157485.164981
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#JoyceS93
2002-12-16
Performance Optimization Using Exact Sensitization.
425-429
1994
DAC
http://doi.acm.org/10.1145/196244.196448
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#SaldanhaHMBS94
2002-12-16
HV/VH Trees: A New Spatial Data Structure for Fast Region Queries.
43-47
1993
DAC
http://doi.acm.org/10.1145/157485.164562
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LaiFW93
2002-12-16
Power Management Techniques for Control-Flow Intensive Designs.
429-434
1997
DAC
http://doi.acm.org/10.1145/266021.266191
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#RaghunathanDJW97
2002-12-16
BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis.
642-647
1993
DAC
http://doi.acm.org/10.1145/157485.165078
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LaiPV93
2006-02-10
Non-iterative switching window computation for delay-noise.
390-395
2003
DAC
http://doi.acm.org/10.1145/775832.775934
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ThudiB03
2006-03-14
Circuit Structure Relations to Redundancy and Delay: The KMS Algorithm Revisited.
245-248
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149425
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#SaldanhaBS92a
2006-01-25
Adjoint Transient Sensitivity Computation in Piecewise Linear Simulation.
477-482
1998
DAC
http://doi.acm.org/10.1145/277044.277177
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#NguyenDN98
2002-12-16
Application of High Level Interface-Based Design to Telecommunications System Hardware.
778-783
1999
DAC
http://doi.acm.org/10.1145/309847.310057
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#WilkesH99
2002-12-16
Synthesis by Spectral Translation Using Boolean Decision Diagrams.
248-253
1996
DAC
http://doi.acm.org/10.1145/240518.240564
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HansenS96
2002-12-16
Reducing Power Dissipation after Technology Mapping by Structural Transformations.
789-794
1996
DAC
http://doi.acm.org/10.1145/240518.240667
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#RohfleischKW96
2002-12-16
PALMINI - Fast Boolean Minimizer for Personal Computers.
615-621
1987
DAC
http://doi.acm.org/10.1145/37888.37985
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#NguyenPG87
2002-12-16
Circuit Delay Models and Their Exact Computation Using Timed Boolean Functions.
128-134
1993
DAC
http://doi.acm.org/10.1145/157485.164625
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LamBS93
2002-12-16
Exact Minimum Cycle Times for Finite State Machines.
100-105
1994
DAC
http://doi.acm.org/10.1145/196244.196294
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#LamBS94
2002-12-16
Validating the Intel Pentium 4 Microprocessor.
244-248
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/16_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Bentley01
2002-12-16
Logic Clause Analysis for Delay Optimization.
668-672
1995
DAC
http://doi.acm.org/10.1145/217474.217608
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#RohfleischWA95
2002-12-16
CLOVER: A Timing Constraints Verification System.
662-667
1991
DAC
http://doi.acm.org/10.1145/127601.127747
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DoukasL91
2002-12-16
Panel: What Drives EDA Innovation?
790-791
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/46_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SchulzMHSVDJJ01
2006-05-03
A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing.
36-39
1990
DAC
http://doi.acm.org/10.1145/123186.123221
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#ChatterjeeH90
2002-12-16
An Architectural Transformation Program for Optimization of Digital Systems by Multi-Level Decomposition.
343-348
1993
DAC
http://doi.acm.org/10.1145/157485.164923
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChatterjeeR93
2002-12-16
A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs.
766-771
1999
DAC
http://doi.acm.org/10.1145/309847.310054
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#YimBK99
2002-12-16
Re-Configurable Computing in Wireless.
178-183
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/12_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SalefskiC01
2006-02-10
Using satisfiability in application-dependent testing of FPGA interconnects.
678-681
2003
DAC
http://doi.acm.org/10.1145/775832.776003
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Tahoori03
2002-12-16
Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor - The DEC Alpha 21264 Microprocessor.
638-643
1998
DAC
http://doi.acm.org/10.1145/277044.277208
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#TaylorQBDHHR98
2002-12-16
Technology Retargeting for IC Layout.
460-465
1997
DAC
http://doi.acm.org/10.1145/266021.266201
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Lakos97
2006-06-27
Waveform Moment Methods for Improved Interconnection Analysis.
406-412
1990
DAC
http://doi.acm.org/10.1145/123186.123319
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#McCormickA90
2002-12-16
Placement and Routing for a Field Programmable Multi-Chip Module.
295-300
1994
DAC
http://doi.acm.org/10.1145/196244.196386
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#LanZG94
2002-12-16
Glitch Analysis and Reduction in Register Transfer Level.
331-336
1996
DAC
http://doi.acm.org/10.1145/240518.240581
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#RaghunathanDJ96
2002-12-16
Early verification of prototype tooling for IC designs.
819-822
1986
DAC
http://doi.acm.org/10.1145/318013.318166
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Lamey86
2006-02-10
A survey of techniques for energy efficient on-chip communication.
900-905
2003
DAC
http://doi.acm.org/10.1145/775832.776059
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RaghunathanSG03
2002-12-16
A Unified Approach for the Synthesis of Self-Testable Finite State Machines.
372-377
1991
DAC
http://doi.acm.org/10.1145/127601.127697
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#EschermannW91
2006-02-10
Fast timing-driven partitioning-based placement for island style FPGAs.
598-603
2003
DAC
http://doi.acm.org/10.1145/775832.775984
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MaideeAB03
2002-12-16
Routing for Manufacturability.
402-406
1994
DAC
http://doi.acm.org/10.1145/196244.196435
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#XueHJ94
2002-12-16
0.18m CMOS and Beyond.
703-708
1999
DAC
http://doi.acm.org/10.1145/309847.310032
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Eaglesham99
2006-03-14
Frameworks - User's Perspective (Panel Abstract).
578
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149633
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Lanzo92
2006-03-14
Specification Partitioning for System Design.
219-224
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149411
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#VahidG92
2002-12-16
Current signature compression for IR-drop analysis.
162-167
2000
DAC
http://doi.acm.org/10.1145/337292.337362
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChaudhryBPE00
2002-12-16
An algorithm for integrated pin assignment and buffer planning.
584-589
2002
DAC
http://doi.acm.org/10.1145/513918.514067
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#XiangWT02
2002-12-16
Representing Circuits More Efficiently in Symbolic Model Checking.
403-407
1991
DAC
http://doi.acm.org/10.1145/127601.127702
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BurchCL91
2002-12-16
ILP-based engineering change.
910-915
2002
DAC
http://doi.acm.org/10.1145/513918.514142
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KoushanfarWFP02
2002-12-16
A Hierarchy-Driven FPGA Partitioning Method.
522-525
1997
DAC
http://doi.acm.org/10.1145/266021.266271
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KrupnovaAS97
2002-12-16
Improved Selay Prediction for On-Chip Buses.
497-501
1999
DAC
http://doi.acm.org/10.1145/309847.309986
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#PomerleauFB99
2004-12-09
An Efficient Implementation of Reactivity for Modeling Hardware in the Scenic Design Environment.
70-75
1997
DAC
http://doi.acm.org/10.1145/266021.266037
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LiaoTG97
2006-03-14
A Context Mechanism to Control Sharing in a Design Database.
344-350
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285786
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#EklundT88
2002-12-16
SIDECAR: Design Support for Reliability.
199-204
1991
DAC
http://doi.acm.org/10.1145/127601.127664
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#YountS91
2002-12-16
A Two-Dimensional Topological Compactor With Octagonal Geometry.
727-731
1991
DAC
http://doi.acm.org/10.1145/127601.127759
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DoodWLS91
2002-12-16
A Video Signal Processor for MIMD Multiprocessing.
50-55
1998
DAC
http://doi.acm.org/10.1145/277044.277054
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#HilgenstockHONP98
2002-12-16
Principles of design automatioon system for very large scale computer design.
354-359
1986
DAC
http://doi.acm.org/10.1145/318013.318069
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#OhnoMYOKI86
2002-12-16
Use of Sensitivities and Generalized Substrate Models in Mixed-Signal IC Design.
227-232
1996
DAC
http://doi.acm.org/10.1145/240518.240560
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#MiliozziVCMS96
2002-12-16
A novel wavelet transform based transient current analysis for fault detection and localization.
361-366
2002
DAC
http://doi.acm.org/10.1145/513918.514011
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#BhuniaRS02
2002-12-16
Towards Optimal System-Level Design.
434-438
1993
DAC
http://doi.acm.org/10.1145/157485.164965
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HaworthB93
2006-03-14
Concurrency Control in a VLSI Design Database.
357-362
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285788
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WidyaLW88
2002-12-16
Robust IP Watermarking Methodologies for Physical Design.
782-787
1998
DAC
http://doi.acm.org/10.1145/277044.277241
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KahngMMPTWW98
2003-08-29
An Evolution-Based Approach to Partitioning ASIC Systems.
767-770
1989
DAC
http://doi.acm.org/10.1145/74382.74525
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SaabR89
2003-08-29
Stochastic Evolution: a Fast Effective Heuristic for Some Generic Layout Problems.
26-31
1990
DAC
http://doi.acm.org/10.1145/123186.123194
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#SaabR90
2002-12-16
A Dynamic Design Estimation and Exploration Environment.
190-195
1997
DAC
http://doi.acm.org/10.1145/266021.266063
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#BentzRL97
2002-12-16
Breadth-First Manipulation of SBDD of Boolean Functions for Vector Processing.
413-416
1991
DAC
http://doi.acm.org/10.1145/127601.127704
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#OchiIY91
2002-12-16
Massively Parallel Switch-Level Simulation: A Feasibility Study.
91-97
1989
DAC
http://doi.acm.org/10.1145/74382.74399
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#KravitzBR89
2002-12-16
An Interactive Tool for Register-level Structure Optimization.
598-601
1989
DAC
http://doi.acm.org/10.1145/74382.74484
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Knapp89
2006-03-14
Analog Compilation Based on Successive Decompositions.
369-375
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285790
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BerkcandL88
2002-12-16
Proof-Aided Design of Verified Hardware.
391-396
1991
DAC
http://doi.acm.org/10.1145/127601.127700
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BuschV91
2002-12-16
Achieving maximum performance: a method for the verification of interlocked pipeline control logic.
135-140
2002
DAC
http://doi.acm.org/10.1145/513918.513953
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#EderB02
2002-12-16
A Framework for Satisfying Input and Output Encoding Constraints.
170-175
1991
DAC
http://doi.acm.org/10.1145/127601.127656
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#SaldanhaVBS91
2002-12-16
ASSURE: Automated Design for Dependability.
555-560
1990
DAC
http://doi.acm.org/10.1145/123186.123401
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#EdmondGSB90
2002-12-16
ASTA: LSI Design Management System.
530-536
1987
DAC
http://doi.acm.org/10.1145/37888.37967
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#OgiharaTM87
2006-06-27
Multi-level Logic Simplification Using Don't Cares and Filters.
277-282
1989
DAC
http://doi.acm.org/10.1145/74382.74429
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SaldanhaWBS89
2002-12-16
System-Level Hardware/Software Trade-offs.
258-259
1999
DAC
http://doi.acm.org/10.1145/309847.309924
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Harbison99
2002-12-16
VVDS: A Verification/Diagnosis System for VHDL.
435-440
1989
DAC
http://doi.acm.org/10.1145/74382.74455
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LiawTL89
2002-12-16
Productivity Issues in High-Level Design: Are Tools Solving the Real Problems?
674-677
1995
DAC
http://doi.acm.org/10.1145/217474.217609
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Bergamaschi95
2002-12-16
Behavioral Network Graph: Unifying the Domains of High-Level and Logic Synthesis.
213-218
1999
DAC
http://doi.acm.org/10.1145/309847.309916
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Bergamaschi99
2002-12-16
ACE: A Hierarchical Graphical Interface for Architectual Synthesis.
537-542
1989
DAC
http://doi.acm.org/10.1145/74382.74472
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BusetE89
2002-12-16
Improved Approximate Reachability Using Auxiliary State Variables.
312-316
1999
DAC
http://doi.acm.org/10.1145/309847.309940
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GovindarajuDB99
2002-12-16
A rule-based logic circuit synthesis system for CMOS gate arrays.
594-600
1986
DAC
http://doi.acm.org/10.1145/318013.318109
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#SaitoSYK86
2002-12-16
Handling special constructs in symbolic simulation.
105-110
2002
DAC
http://doi.acm.org/10.1145/513918.513947
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KolblKAD02
2002-12-16
A Methodology for HW-SW Codesign in ATM.
520-527
1995
DAC
http://doi.acm.org/10.1145/217474.217581
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ManciniYB95
2002-12-16
HAPPI: a chip compiler based on double-level-metal technology.
736-743
1986
DAC
http://doi.acm.org/10.1145/318013.318153
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#PutatundaSMC86
2002-12-16
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique.
480-485
2002
DAC
http://doi.acm.org/10.1145/513918.514041
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AnisMEA02
2002-12-16
An Efficient Layout Style for 2-Metal CMOS Leaf Cells And Their Automatic Generation.
481-486
1991
DAC
http://doi.acm.org/10.1145/127601.127716
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#HwangHLH91
2002-12-16
Sequential Circuit Test Generation in a Genetic Algorithm Framework.
698-704
1994
DAC
http://doi.acm.org/10.1145/196244.196619
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#RudnickPGN94
2006-02-10
Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing.
137-142
2003
DAC
http://doi.acm.org/10.1145/775832.775869
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RamachandranJ03
2002-12-16
Layout Synthesis of MOS Digital Cells.
241-245
1990
DAC
http://doi.acm.org/10.1145/123186.118358
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Domic90
2002-12-16
Modeling and Simulation of High-Frequency Integrated Circuits Based on Scattering Parameters.
752-757
1991
DAC
http://doi.acm.org/10.1145/127601.127764
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#YangCYDH91
2006-02-10
Piecewise polynomial nonlinear model reduction.
484-489
2003
DAC
http://doi.acm.org/10.1145/775832.775957
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#DongR03
2002-12-16
Industrial Extensions to University High Level Synthesis Tools: Making It Work in the Real World.
520-525
1991
DAC
http://doi.acm.org/10.1145/127601.127725
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#Fuhrman91
2002-12-16
An Advanced Timing Characterization Method Using Mode Dependency.
657-660
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/40_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#YalcinPMBSH01
2002-12-16
Zeros and Passivity of Arnoldi-Reduced-Order Models for Interconnect Networks.
28-33
1997
DAC
http://doi.acm.org/10.1145/266021.266030
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#ElfadelL97
2006-03-14
An Integrated Approach to Realistic Worst-Case Design Optimization of MOS Analog Circuits.
704-709
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149684
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#DharchoudhuryK92
2002-12-16
Performance-Constrained Worst-Case Variability Minimization of VLSI Circuits.
154-158
1993
DAC
http://doi.acm.org/10.1145/157485.164647
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#DharchoudhuryK93
2002-12-16
IRSIM: An Incremental MOS Switch-Level Simulator.
173-178
1989
DAC
http://doi.acm.org/10.1145/74382.74412
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SalzH89
2002-12-16
A Novel VLSI Layout Fabric for Deep Sub-Micron Applications.
491-496
1999
DAC
http://doi.acm.org/10.1145/309847.309985
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KhatriMBOS99
2002-12-16
Min-cost Partitioning on a Tree Structure and Applications.
771-774
1989
DAC
http://doi.acm.org/10.1145/74382.74526
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Vijayan89
2002-12-16
A Parallel Pattern Mixed-Level Fault Simulator.
716-719
1990
DAC
http://doi.acm.org/10.1145/123186.123449
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#HwangLSW90
2002-12-16
Software Development in a Hardware Simulation Environment.
684-689
1996
DAC
http://doi.acm.org/10.1145/240518.240647
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SchnaiderY96
2002-12-16
Fast Bit-True Simulation.
708-713
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/42_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KedingCLM01
2002-12-16
A Practical Approach to Static Signal Electromigration Analysis.
572-577
1998
DAC
http://doi.acm.org/10.1145/277044.277195
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#NagarajCHY98
2002-12-16
Efficient Latch Optimization Using Exclusive Sets.
8-11
1997
DAC
http://doi.acm.org/10.1145/266021.266026
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SentovichTB97
2006-01-10
GRASP: A Grammar-based Schematic Parser.
448-453
1989
DAC
http://doi.acm.org/10.1145/74382.74457
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BamjiA89
2002-12-16
Enhanced Network Flow Algorithm for Yield Optimization.
746-751
1996
DAC
http://doi.acm.org/10.1145/240518.240660
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BamjiM96
2006-03-14
Hierarchical Pitchmatching Compaction Using Minimum Design.
311-317
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149468
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BamjiV92
2002-12-16
MSTC: A Method for Identifying Overconstraints during Hierarchical Compaction.
389-394
1993
DAC
http://doi.acm.org/10.1145/157485.164944
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#BamjiV93
2002-12-16
A practical and efficient method for compare-point matching.
305-310
2002
DAC
http://doi.acm.org/10.1145/513918.513997
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#AnastasakisDMS02
2006-02-10
A cost-effective scan architecture for scan testing with non-scan test power and test application cost.
744-747
2003
DAC
http://doi.acm.org/10.1145/775832.776022
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#XiangGSW03
2002-12-16
VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems.
119-124
1996
DAC
http://doi.acm.org/10.1145/240518.240541
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#WunderLM96
2002-12-16
Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits.
721-724
1999
DAC
http://doi.acm.org/10.1145/309847.310042
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#IsmailF99
2002-12-16
Predicting coupled noise in RC circuits by matching 1, 2, and 3 moments.
532-535
2000
DAC
http://doi.acm.org/10.1145/337292.337568
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Sheehan00
2002-12-16
Osculating Thevenin model for predicting delay and slew of capacitively characterized cells.
866-869
2002
DAC
http://doi.acm.org/10.1145/513918.514132
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Sheehan02
2002-12-16
An AWE Technique for Fast Printed Circuit Board Delays.
539-543
1996
DAC
http://doi.acm.org/10.1145/240518.240620
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#Sheehan96
2002-12-16
ENOR: Model Order Reduction of RLC Circuits Using Nodal Equations for Efficient Factorization.
17-21
1999
DAC
http://doi.acm.org/10.1145/309847.309855
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#Sheehan99
2002-12-16
MACDAS: multi-level AND-OR circuit synthesis using two-variable function generators.
86-93
1986
DAC
http://doi.acm.org/10.1145/318013.318028
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Sasao86
2006-06-20
Architectural Partitioning for System Level Design.
62-67
1989
DAC
http://doi.acm.org/10.1145/74382.74394
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LagneseT89
2006-05-31
On the Stability of Moment-Matching Approximations in Asymptotic Waveform Evaluation.
207-212
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149405
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#AnastasakisGKP92
2002-12-16
Sequential Circuit Delay optimization Using Global Path Delays.
483-489
1993
DAC
http://doi.acm.org/10.1145/157485.164991
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ChakradharDPR93
2002-12-16
Hybrid Verification Using Saturated Simulation.
615-618
1998
DAC
http://doi.acm.org/10.1145/277044.277204
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#AzizKS98
2006-03-14
A Graphical Hardware Design Language.
108-114
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285747
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#DrongowskiBRIW88
2002-12-16
A hybrid verification approach: getting deep into the design.
111-116
2002
DAC
http://doi.acm.org/10.1145/513918.513948
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#HazelhurstWKF02
2002-12-16
BDD Variable Ordering for Interacting Finite State Machines.
283-288
1994
DAC
http://doi.acm.org/10.1145/196244.196379
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#AzizTB94
2006-06-20
Circuit Simulation on the Connection Machine.
108-113
1987
DAC
http://doi.acm.org/10.1145/37888.37904
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#WebberS87
2002-12-16
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology.
461-466
2002
DAC
http://doi.acm.org/10.1145/513918.514035
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KapurCS02
2002-12-16
High-level simulation of substrate noise generation including power supply noise coupling.
446-451
2000
DAC
http://doi.acm.org/10.1145/337292.337539
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#HeijningenBDEB00
2006-03-14
Why Partial Design Verification Works Better Than It Should.
704-707
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285851
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Savir88
2005-03-02
Behavioral Synthesis of Analog Systems Using Two-layered Design Space Exploration.
951-957
1999
DAC
http://doi.acm.org/10.1145/309847.310105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#DoboliNDGV99
2006-03-14
On the Distribution of Fault Coverage and Test length in Random Testing of Combinational Circuits.
341-346
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149485
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#MajumdarS92
2002-12-16
Watermarking of SAT using Combinatorial Isolation Lemmas.
480-485
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/30_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MajumdarW01
2002-12-16
Using Texture Mapping with Mipmapping to Render a VLSI Layout.
500-505
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/31_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#SolomonH01
2002-12-16
Utilizing Memory Bandwidth in DSP Embedded Processors.
347-352
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/23_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Gebotys01
2006-03-14
Optimal Scheduling and Allocation of Embedded VLSI Chips.
116-119
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110377
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Gebotys92
2002-12-16
Low Energy Memory and Register Allocation Using Network Flow.
435-440
1997
DAC
http://doi.acm.org/10.1145/266021.266192
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#Gebotys97
2002-12-16
System-Level Synthesis of Low-Power Hard Real-Time Systems.
697-702
1997
DAC
http://doi.acm.org/10.1145/266021.266325
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KirovskiP97
2002-12-16
Efficient Coloring of a Large Spectrum of Graphs.
427-432
1998
DAC
http://doi.acm.org/10.1145/277044.277165
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KirovskiP98
2002-12-16
Engineering Change: Methodology and Applications to Behavioral and System Synthesis.
604-609
1999
DAC
http://doi.acm.org/10.1145/309847.310007
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KirovskiP99
2006-02-10
Libraries: lifejacket or straitjacket.
642-643
2003
DAC
http://doi.acm.org/10.1145/775832.775994
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SechenCHMNNT03
2002-12-16
Test Generation of Stuck-open Faults Using Stuck-at Test Sets in CMOS Combinational Circuits.
345-350
1989
DAC
http://doi.acm.org/10.1145/74382.74440
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LeeHK89
2002-12-16
VeriCDF: a new verification methodology for charged device failures.
874-879
2002
DAC
http://doi.acm.org/10.1145/513918.514134
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LeeKK02
2002-12-16
Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments.
292-297
1993
DAC
http://doi.acm.org/10.1145/157485.164897
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LeeJW93
2002-12-16
TED: A Graphical Technology Description Editor.
423-428
1987
DAC
http://doi.acm.org/10.1145/37888.37951
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LeeLP87
2006-05-31
SWiTEST: A Switch Level Test Generation System for CMOS Combinational Circuits.
26-29
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.113931
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeNB92
2006-03-14
Acquiring and Maintaining State-of-the-Art DA Systems.
387-392
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149501
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#HefferanS92
2006-03-14
On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits.
327-331
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149476
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LeeR92a
2002-12-16
Approaches to Multi-level Sequential Logic Synthesis.
270-276
1989
DAC
http://doi.acm.org/10.1145/74382.74428
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Devadas89
2005-06-20
An asymptotically constant, linearly bounded methodology for the statistical simulation of analog circuits including component mismatch effects.
15-18
2000
DAC
http://doi.acm.org/10.1145/337292.337302
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GaurdianiSMSC00
2006-04-06
MetaCore: An Application Specific DSP Development System.
800-803
1998
DAC
http://doi.acm.org/10.1145/277044.277247
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#YangKNCSRKLLKYKLHKKPPLHPK98
2002-12-16
DYNAJUST: An Efficient Automatic Routing Technique Optimizing Delay Conditions.
791-794
1989
DAC
http://doi.acm.org/10.1145/74382.74531
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#FujiharaSIY89
2002-12-16
Simulation-Based Test Algorithm Generation and Port Scheduling for Multi-Port Memories.
301-306
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/20_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#WuHCWW01
2002-12-16
Memory Segmentation to Exploit Sleep Mode Operation.
36-41
1995
DAC
http://doi.acm.org/10.1145/217474.217503
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#FarrahiTS95
2002-12-16
A Framework for Low Complexity Static Learning.
546-549
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/33_4.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#GizdarskiF01
2002-12-16
Test Strategies for BIST at the Algorithmic and Register-Transfer Levels.
65-70
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/05_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#OckunzziP01
2002-12-16
Improved Cut Sequences for Partitioning Based Placement.
776-779
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/45_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#YildizM01
2006-06-19
An O(nlogm) Algorithm for VLSI Design Rule Checking.
503-507
1989
DAC
http://doi.acm.org/10.1145/74382.74466
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BonapaceL89
2006-02-10
The synthesis of cyclic combinational circuits.
163-168
2003
DAC
http://doi.acm.org/10.1145/775832.775875
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RiedelB03
2002-12-16
False timing path identification using ATPG techniques and delay-based information.
562-565
2002
DAC
http://doi.acm.org/10.1145/513918.514060
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ZengAA02
2006-02-10
Automating the design of an asynchronous DLX microprocessor.
502-507
2003
DAC
http://doi.acm.org/10.1145/775832.775961
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AmdeBS03
2002-12-16
Code Compression for Embedded Systems.
516-521
1998
DAC
http://doi.acm.org/10.1145/277044.277185
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LekatsasW98
2002-12-16
A Parts Selection Expert System to Increase Manufacturability.
706-712
1987
DAC
http://doi.acm.org/10.1145/37888.38001
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#PraizlerF87
2002-12-16
Partial Scan Design Based on Circuit State Information.
807-812
1996
DAC
http://doi.acm.org/10.1145/240518.240670
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#XiangVFP96
2002-12-16
Scheduler-based DRAM energy management.
697-702
2002
DAC
http://doi.acm.org/10.1145/513918.514095
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#DelaluzSKVI02
2006-06-27
High-Level Graphical User Interface Management in the FACE Synthesis Environment.
549-554
1989
DAC
http://doi.acm.org/10.1145/74382.74474
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#DragomireckyGJDSd89
2006-02-03
Function Search from Behavioral Description of a Digital System.
574-579
1987
DAC
http://doi.acm.org/10.1145/37888.37977
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#WuHHYY87
2006-03-14
Canonical Embedding of Rectangular Duals with Applications to VLSI Floorplanning.
69-74
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110428
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Sur-KolayB92
2006-10-25
A Vector Hardware Accelerator with Circuit Simulation Emphasis.
89-94
1987
DAC
http://doi.acm.org/10.1145/37888.37901
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#VladimirescuWKBKDNJL87
2003-02-04
General AC Constraint Transformation for Analog ICs.
38-43
1998
DAC
http://doi.acm.org/10.1145/277044.277052
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ArsintescuCMCK98
2002-12-16
Fitting Formal Methods into the Design Cycle.
314-319
1994
DAC
http://doi.acm.org/10.1145/196244.196392
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#McMillan94
2006-02-10
Test cost reduction for SOCs using virtual TAMs and lagrange multipliers.
738-743
2003
DAC
http://doi.acm.org/10.1145/775832.776021
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#SehgalIKC03
2002-12-16
Dynamically Reconfigurable Architecture for Image Processor Applications.
623-628
1999
DAC
http://doi.acm.org/10.1145/309847.310012
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#AdarioRB99
2002-12-16
Parallel Mixed-Level Power Simulation Based on Spatio-Temporal Circuit Partitioning.
562-567
1999
DAC
http://doi.acm.org/10.1145/309847.309998
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ChinosiZG99
2002-12-16
LCS - A Leaf Cell Synthesizer Employing Formal Deduction Techniques.
459-465
1987
DAC
http://doi.acm.org/10.1145/37888.37956
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Subrahmanyam87
2003-03-26
An Improved Systematic Method for Constructing Systolic Arrays from Algorithms.
26-34
1987
DAC
http://doi.acm.org/10.1145/37888.37892
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#FaroughiS87
2002-12-16
A Preliminary Investigation into Parallel Routing on a Hypercube Computer.
814-820
1987
DAC
http://doi.acm.org/10.1145/37888.38016
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#OlukotunM87
2002-12-16
Sequential Circuit Verification Using Symbolic Model Checking.
46-51
1990
DAC
http://doi.acm.org/10.1145/123186.123223
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#BurchCMD90
2002-12-16
A Clustering-Based Optimization Algorithm in Zero-Skew Routings.
612-616
1993
DAC
http://doi.acm.org/10.1145/157485.165066
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Edahiro93
2002-12-16
An Efficient Zero-Skew Routing Algorithm.
375-380
1994
DAC
http://doi.acm.org/10.1145/196244.196426
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#Edahiro94
2002-12-16
Multiple Error Diagnosis Based on Xlists.
660-665
1999
DAC
http://doi.acm.org/10.1145/309847.310021
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BoppanaMJFB99
2002-12-16
Battery-conscious task sequencing for portable devices including voltage/clock scaling.
189-194
2002
DAC
http://doi.acm.org/10.1145/513918.513967
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#RakhmatovVC02
2006-02-10
System-on-chip beyond the nanometer wall.
419-424
2003
DAC
http://doi.acm.org/10.1145/775832.775943
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MagarshackP03
2006-02-10
Architecture-level performance evaluation of component-based embedded systems.
396-401
2003
DAC
http://doi.acm.org/10.1145/775832.775936
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RussellJ03
2002-12-16
Case studies: Chip design on the bleeding edge (panel session abstract).
648
2000
DAC
http://doi.acm.org/10.1145/337292.337605
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CohnRYMA00
2002-12-16
Stable and Efficient Reduction of Large, Multiport RC Networks by Pole Analysis via Congruence Transformations.
280-285
1996
DAC
http://doi.acm.org/10.1145/240518.240570
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#KernsY96
2002-12-16
Preservation of Passivity During RLC Network Reduction via Split Congruence Transformations.
34-39
1997
DAC
http://doi.acm.org/10.1145/266021.266031
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KernsY97
2002-12-16
Optimizing Resource Utilization and Testability Using Hot Potato Techniques.
201-205
1994
DAC
http://doi.acm.org/10.1145/196244.196350
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#PotkonjakD94
2002-12-16
Address Calculation for Retargetable Compilation and Exploration of Instruction-Set Architectures.
597-600
1996
DAC
http://doi.acm.org/10.1145/240518.240631
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LiemPJ96
2002-12-16
Rephasing: A Transformation Technique for the Manipulation of Timing Constraints.
107-112
1995
DAC
http://doi.acm.org/10.1145/217474.217515
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#PotkonjakS95
2002-12-16
Concurrent Error Detection of Fault-Based Side-Channel Cryptanalysis of 128-Bit Symmetric Block Ciphers.
579-585
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/35_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KarriWMK01
2002-12-16
Partial Scan with Retiming.
249-254
1993
DAC
http://doi.acm.org/10.1145/157485.164881
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#KagarisT93
2002-12-16
Exploiting shared scratch pad memory space in embedded multiprocessor systems.
219-224
2002
DAC
http://doi.acm.org/10.1145/513918.513974
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KandemirRC02
2002-12-16
Automatic Synthesis of Microprogrammed Control Units from Behavioral Descriptions.
147-154
1989
DAC
http://doi.acm.org/10.1145/74382.74408
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#KumarKKG89
2002-12-16
AWEsim: Asymptotic Waveform Evaluation for Timing Analysis.
634-637
1989
DAC
http://doi.acm.org/10.1145/74382.74493
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#PillageHR89
2006-04-05
Compiler-generated communication for pipelined FPGA applications.
610-615
2003
DAC
http://doi.acm.org/10.1145/775832.775986
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ZieglerHD03
2002-12-16
Communication architecture based power management for battery efficient system design.
691-696
2002
DAC
http://doi.acm.org/10.1145/513918.514094
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LahiriDR02
2002-12-16
Reasoning about digital systems using temporal logic.
215-219
1986
DAC
http://doi.acm.org/10.1145/318013.318047
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BapatV86
2002-12-16
S-Parameter Based Macro Model of Distributed-Lumped Networks Using Exponentially Decayed Polynomial Function.
726-731
1993
DAC
http://doi.acm.org/10.1145/157485.165108
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LiaoDWC93
2002-12-16
S-Tree: a technique for buffered routing tree synthesis.
578-583
2002
DAC
http://doi.acm.org/10.1145/513918.514066
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#HrkicL02
2006-03-14
On the Circuit Implementation Problem.
478-483
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149570
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LiLAS92
2002-12-16
LOTTERYBUS: A New High-Performance Communication Architecture for System-on-Chip Designs.
15-20
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/03_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#LahiriRL01
2006-02-10
Efficient description of the design space of analog circuits.
970-973
2003
DAC
http://doi.acm.org/10.1145/775832.776075
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Hershenson03
2002-12-16
New Spectral Linear Placement and Clustering Approach.
88-93
1996
DAC
http://doi.acm.org/10.1145/240518.240536
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LiLLC96
2002-12-16
System Design Methodology of UltraSPARC-I.
7-12
1995
DAC
http://doi.acm.org/10.1145/217474.217481
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#YangGMJL95
2003-09-15
Behavioral Modeling of Transmission Gates in VHDL.
746-749
1989
DAC
http://doi.acm.org/10.1145/74382.74520
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Leung89
2006-02-10
Analog and RF circuit macromodels for system-level analysis.
478-483
2003
DAC
http://doi.acm.org/10.1145/775832.775956
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LiLXP03
2002-12-16
The Validity of Retiming Sequential Circuits.
316-321
1995
DAC
http://doi.acm.org/10.1145/217474.217548
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#SinghalPRB95
2006-03-14
A Programmable Hardware Accelerator for Compiled Electrical Simulation.
172-177
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285758
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Lewis88
2004-02-12
Efficient Communication in a Design Environment.
169-174
1996
DAC
http://doi.acm.org/10.1145/240518.240550
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#VideiraVS96
2002-12-16
Digital Aetection of Analog Parametric Faults in SC Filters.
772-777
1999
DAC
http://doi.acm.org/10.1145/309847.310056
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HarjaniV99
2006-02-10
Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach.
906-911
2003
DAC
http://doi.acm.org/10.1145/775832.776060
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#RongP03
2006-01-03
Closing the gap between ASIC and custom: an ASIC perspective.
637-642
2000
DAC
http://doi.acm.org/10.1145/337292.337602
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChinneyK00
2006-06-20
Pattern-Independent Current Estimation for Reliability Analysis of CMOS Circuits.
294-299
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285778
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BurchNYH88
2002-12-16
Projection frameworks for model reduction of weakly nonlinear systems.
184-189
2000
DAC
http://doi.acm.org/10.1145/337292.337380
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#Phillips00
2002-12-16
Automatic test pattern generation for functional RTL circuits using assignment decision diagrams.
43-48
2000
DAC
http://doi.acm.org/10.1145/337292.337309
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#GhoshF00
2006-03-14
A Multi-Layer Channel Router with New Style of Over-the-Cell Routing.
585-588
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149635
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#FujiiMMY92
2002-12-16
Automatic Synthesis of Interfaces Between Incompatible Protocols.
8-13
1998
DAC
http://doi.acm.org/10.1145/277044.277047
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#PasseroneRS98
2002-12-16
Panel: Is Nanometer Design Under Control?
591-592
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/36_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#KahngSNCBSCW01
2002-12-16
VLSI Design Language Standardization Effort in Japan.
50-55
1989
DAC
http://doi.acm.org/10.1145/74382.74392
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#Karatsu89
2004-12-09
Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures.
287-292
1997
DAC
http://doi.acm.org/10.1145/266021.266103
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SudarsanamLD97
2006-03-14
Optimal Aspect Ratios of Building Blocks in VLSI.
66-72
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285740
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#WimerKC88
2002-12-16
Hypergraph Partitioning for VLSI CAD: Methodology for Heuristic Development, Experimentation and Reporting.
349-354
1999
DAC
http://doi.acm.org/10.1145/309847.309955
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#CaldwellKKM99
2006-06-19
Use of Change Coordination in an Information-rich Design Environment.
252-257
1989
DAC
http://doi.acm.org/10.1145/74382.74425
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#WinslettKHW89
2002-12-16
Substrate Modeling and Lumped Substrate Resistance Extraction for CMOS ESD/Latchup Circuit Simulation.
549-554
1999
DAC
http://doi.acm.org/10.1145/309847.309996
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LiTRK99
2002-12-16
<i>O(n)</i>Algorithm for Transistor Stacking with Performance Constraints.
221-226
1996
DAC
http://doi.acm.org/10.1145/240518.240559
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BasaranR96
2002-12-16
Module Placement for Analog Layout Using the Sequence-Pair Representation.
274-279
1999
DAC
http://doi.acm.org/10.1145/309847.309930
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BalasaL99
2002-12-16
Compiled HW/SW Co-Simulation.
690-695
1996
DAC
http://doi.acm.org/10.1145/240518.240649
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ZivojnovicM96
2005-02-11
Implementation of a Scalable MPEG-4 Wavelet-Based Visual Texture Compression System.
333-336
1999
DAC
http://doi.acm.org/10.1145/309847.309948
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#NachtergaeleVPLBB99
2002-12-16
An Approxmimate Algorithm for Delay-Constraint Technology Mapping.
367-372
1999
DAC
http://doi.acm.org/10.1145/309847.309960
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#RoyBB99
2002-12-16
Frequency Domain Microwave Modeling Using Retarded Partial Element Equivalent Circuits.
702-706
1993
DAC
http://doi.acm.org/10.1145/157485.165097
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HeebPR93
2002-12-16
ISDL: An Instruction Set Description Language for Retargetability.
299-302
1997
DAC
http://doi.acm.org/10.1145/266021.266108
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#HadjiyiannisHD97
2002-12-16
Data-Path Synthesis Using Path Analysis.
591-596
1991
DAC
http://doi.acm.org/10.1145/127601.127738
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#BergamaschiCP91
2006-03-14
High-Level Synthesis from VHDL with Exact Timing Constraints.
188-193
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149396
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#StollD92
2006-03-14
Control Optimization in High-Level Synthesis Using Behavioral Don't Cares.
657-661
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149657
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BergamaschiLK92
2002-12-16
A Methodology for Accurate Performance Evaluation in Architecture Exploration.
927-932
1999
DAC
http://doi.acm.org/10.1145/309847.310100
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#HadjiyiannisRD99
2004-11-08
An integrated algorithm for memory allocation and assignment in high-level synthesis.
608-611
2002
DAC
http://doi.acm.org/10.1145/513918.514072
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#SeoKP02
2002-12-16
<i><sub>DDQ</sub></i>Test Sets Using Partial Scan.
278-281
1991
DAC
http://doi.acm.org/10.1145/127601.127680
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChakrabortyBBL91
2002-12-16
Rules-based object clustering: a data structure for symbolic VLSI synthesis and analysis.
768-777
1986
DAC
http://doi.acm.org/10.1145/318013.318158
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Larsen86
2006-03-14
Verification of VHDL Designs Using VAL.
48-53
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285737
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#AugustinGHLS88
2002-12-16
VFSIM: Vectorized Fault Simulator Using a Reduction Technique Excluding Temporarily Unobservable Faults.
510-515
1994
DAC
http://doi.acm.org/10.1145/196244.196519
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#NagumoNNMM94
2002-12-16
Fast Exact Minimization of BDDs.
200-205
1998
DAC
http://doi.acm.org/10.1145/277044.277099
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#DrechslerDG98
2002-12-16
Vex - A CAD Toolbox.
523-528
1999
DAC
http://doi.acm.org/10.1145/309847.309991
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#BergmannH99
2006-02-10
A scan BIST generation method using a markov source and partial bit-fixing.
554-559
2003
DAC
http://doi.acm.org/10.1145/775832.775974
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#LiYRP03
2002-12-16
Datapath Generator Based on Gate-Level Symbolic Layout.
388-393
1990
DAC
http://doi.acm.org/10.1145/123186.123314
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#MatsumotoWUSHM90
2002-12-16
Error Correction Based on Verification Techniques.
258-261
1996
DAC
http://doi.acm.org/10.1145/240518.240566
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#HuangCC96
2002-12-16
Global forced hierarchical router.
798-802
1986
DAC
http://doi.acm.org/10.1145/318013.318163
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#KessenichJ86
2002-12-16
On the Bounded-Skew Clock and Steiner Routing Problems.
508-513
1995
DAC
http://doi.acm.org/10.1145/217474.217579
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#HuangKT95
2002-12-16
A Polynomial-Time Heuristic Approach to Approximate a Solution to the False Path Problem.
118-122
1993
DAC
http://doi.acm.org/10.1145/157485.164622
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#HuangPS93
2002-12-16
Schedulability of event-driven code blocks in real-time embedded systems.
616-621
2002
DAC
http://doi.acm.org/10.1145/513918.514075
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#ChakrabortyEKT02
2002-12-16
Software Patents and Their Potential Impact on the EDA Community (Panel).
164
1994
DAC
http://doi.acm.org/10.1145/196244.196321
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#CleemputDBCHLF94
2002-12-16
A Transitive Closure Based Algorithm for Test Generation.
353-358
1991
DAC
http://doi.acm.org/10.1145/127601.127693
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChakradharA91
2002-12-16
Resynthesis and Retiming for Optimum Partial Scan.
87-93
1994
DAC
http://doi.acm.org/10.1145/196244.196288
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#ChakradharD94
2002-12-16
Scalable Hybrid Verification of Complex Microprocessors.
41-46
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/04_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#MneimnehAWCSA01
2002-12-16
Integrated Scheduling and Binding: A Synthesis Approach for Design Space Exploration.
68-74
1989
DAC
http://doi.acm.org/10.1145/74382.74395
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BalakrishnanM89
2006-02-10
How to make efficient communication, collaboration, and optimization from system to chip.
417-418
2003
DAC
http://doi.acm.org/10.1145/775832.775942
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Matsuzawa03
2002-12-16
Panel: The Electronics Industry Supply Chain: Who Will Do What?
1-2
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/01_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#GloverHBKJCV01
2002-12-16
Design-Flow and Synthesis for ASICs: A Case Study.
292-297
1995
DAC
http://doi.acm.org/10.1145/217474.217544
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#BombanaCCHMZ95
2002-12-16
Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks.
345-351
1995
DAC
http://doi.acm.org/10.1145/217474.217553
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#KonukFL95
2006-02-10
Dynamic hardware/software partitioning: a first approach.
250-255
2003
DAC
http://doi.acm.org/10.1145/775832.775896
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#StittLV03
2002-12-16
Exact Required Time Analysis via False Path Detection.
220-225
1997
DAC
http://doi.acm.org/10.1145/266021.266070
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#KukimotoB97
2002-12-16
Hierarchical Functional Timing Analysis.
580-585
1998
DAC
http://doi.acm.org/10.1145/277044.277197
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KukimotoB98
2006-02-10
Low-power design methodology for an on-chip bus with adaptive bandwidth capability.
628-633
2003
DAC
http://doi.acm.org/10.1145/775832.775990
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#BashirullahLC03
2002-12-16
A Framework for Industrial Layout Generators.
419-424
1990
DAC
http://doi.acm.org/10.1145/123186.123322
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#BowerSW90
2002-12-16
One-chip Bluetooth ASIC Challenges.
262
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/17_2scn.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#Zeijl01
2002-12-16
Achieving 550Mhz in an ASIC Methodology.
420-425
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/27_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ChinneryNK01
2006-02-10
Random walks in a supply network.
93-98
2003
DAC
http://doi.acm.org/10.1145/775832.775860
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#QianNS03
2006-05-24
Unification of Budgeting and Placement.
758-761
1997
DAC
http://doi.acm.org/10.1145/266021.266364
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#SarrafzadehKT97
2006-03-14
Routing Considerations in Symbolic Layout Synthesis.
682-686
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149672
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LiaoC92
2004-12-09
Solving Covering Problems Using LPR-Based Lower Bounds.
117-120
1997
DAC
http://doi.acm.org/10.1145/266021.266046
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#LiaoD97
2006-02-10
RF front end application and technology trends.
73-78
2003
DAC
http://doi.acm.org/10.1145/775832.775854
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Hooijmans03
2002-12-16
Logic Verification Algorithms and Their Parallel Implementation.
283-290
1987
DAC
http://doi.acm.org/10.1145/37888.37931
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#MaDSW87
2006-02-10
Optimizations for a simulator construction system supporting reusable components.
926-931
2003
DAC
http://doi.acm.org/10.1145/775832.776065
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#PenryA03
2002-12-16
Dealing with Inductance in High-Speed Chip Design.
904-909
1999
DAC
http://doi.acm.org/10.1145/309847.310096
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#RestleRW99
2002-12-16
Hierarchical Electromigration Reliability Diagnosis for VLSI Interconnects.
752-757
1996
DAC
http://doi.acm.org/10.1145/240518.240661
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#TengCRK96
2002-12-16
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors.
486-491
2002
DAC
http://doi.acm.org/10.1145/513918.514042
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KarnikYTWBGDB02
2006-02-10
Seamless multi-radio integration challenges.
72
2003
DAC
http://doi.acm.org/10.1145/775832.775853
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Barkai03
2002-12-16
Embedded hardware and software self-testing methodologies for processor cores.
625-630
2000
DAC
http://doi.acm.org/10.1145/337292.337599
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ChenDSSC00
2002-12-16
SubGemini: Identifying SubCircuits using a Fast Subgraph Isomorphism Algorithm.
31-37
1993
DAC
http://doi.acm.org/10.1145/157485.164556
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#OhlrichEGS93
2006-03-14
Tutorial on High-Level Synthesis.
330-336
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285784
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#McFarlandPC88
2006-03-14
CATAPULT: Concurrent Automatic Testing Allowing Parallelization and Using Limited Topology.
597-600
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285828
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#GaedeRMB88
2002-12-16
Spectral Transforms for Large Boolean Functions with Applications to Technology Mapping.
54-60
1993
DAC
http://doi.acm.org/10.1145/157485.164569
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ClarkeMZFY93
2002-12-16
A Cost-Based Approach to Partial Scan.
255-259
1993
DAC
http://doi.acm.org/10.1145/157485.164882
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ParikhA93
2002-12-16
SKILL: A CAD System Extension Language.
266-271
1990
DAC
http://doi.acm.org/10.1145/123186.123271
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Barnes90
2002-12-16
Performance Oriented Rectilinear Steiner Trees.
171-176
1993
DAC
http://doi.acm.org/10.1145/157485.164656
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LimCW93
2002-12-16
Design Considerations and Tools for Low-voltage Digital System Design.
113-118
1996
DAC
http://doi.acm.org/10.1145/240518.240540
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ChandrakasanYVA96
2002-12-16
On the efficacy of simplified 2D on-chip inductance models.
757-762
2002
DAC
http://doi.acm.org/10.1145/513918.514108
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#LinBP02
2006-03-14
Symbolic Prime Generation for Multiple-Valued Functions.
40-44
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.110437
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LinCM92
2004-10-07
YAPI: application modeling for signal processing systems.
402-405
2000
DAC
http://doi.acm.org/10.1145/337292.337511
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#KockSWBKLVE00
2002-12-16
Gate Matrix Layout Synthesis with Two-Dimensional Folding.
37-42
1989
DAC
http://doi.acm.org/10.1145/74382.74390
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#LinDY89
2002-12-16
A New Compaction Scheme Based on Compression Ridges.
645-648
1987
DAC
http://doi.acm.org/10.1145/37888.37991
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#ShahM87
2002-12-16
Hierarchical Optimization of Asynchronous Circuits.
712-717
1995
DAC
http://doi.acm.org/10.1145/217474.217616
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LinJK95
2006-03-14
Analyzing Cycle Stealing on Synchronous Circuits with Level-Sensitive Latches.
393-398
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149504
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#LinLE92
2002-12-16
Delay and Area Optimization in Standard-Cell Design.
349-352
1990
DAC
http://doi.acm.org/10.1145/123186.123301
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#LinMK90
2002-12-16
Implementing asynchronous circuits using a conventional EDA tool-flow.
415-418
2002
DAC
http://doi.acm.org/10.1145/513918.514025
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#Sotiriou02
2006-02-10
Enabling scheduling analysis of heterogeneous systems with multi-rate data dependencies and rate intervals.
454-459
2003
DAC
http://doi.acm.org/10.1145/775832.775951
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#JersakE03
2002-12-16
Requirements-Based Design Evaluation.
76-81
1995
DAC
http://doi.acm.org/10.1145/217474.217510
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#FrezzaLC95
2006-04-19
Integration of Hardware and Software in Embedded Systems Design (Panel Abstract).
541
1990
DAC
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#Lattin90
2006-03-14
Why Data Models Will Become the Fastest Growing Segment of the EDA Market (Panel Abstract).
260
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149430
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Lattin92
2002-12-16
Tools or users: which is the bigger bottleneck?
76-77
2002
DAC
http://doi.acm.org/10.1145/513918.513939
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#KahngCGLNRH02
2002-12-16
A Branching Process Model for Observability Analysis of Combinational Circuits.
452-457
1991
DAC
http://doi.acm.org/10.1145/127601.127711
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#SastryM91
2006-06-20
An Investigation into Statistical Properties of Partitioning and Floorplanning Problems.
382-387
1989
DAC
http://doi.acm.org/10.1145/74382.74446
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#SastryP89
2002-12-16
High-Level Power Modeling, Estimation, and Optimization.
504-511
1997
DAC
http://doi.acm.org/10.1145/266021.266268
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#MaciiPS97
2002-12-16
Design and Implementation of the NUMAchine Multiprocessor.
66-69
1998
DAC
http://doi.acm.org/10.1145/277044.277057
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#GrbicBCGGLLMSSVZ98
2002-12-16
Modeling of Intermediate Node States in switch-Level Networks.
722-727
1994
DAC
http://doi.acm.org/10.1145/196244.196626
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#DahlgrenL94
2006-02-10
Behavioral consistency of C and verilog programs using bounded model checking.
368-371
2003
DAC
http://doi.acm.org/10.1145/775832.775928
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#ClarkeKY03
2002-12-16
Word Level Model Checking - Avoiding the Pentium FDIV Error.
645-648
1996
DAC
http://doi.acm.org/10.1145/240518.240640
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#ClarkeKZ96
2006-03-14
A Structural Representation for VLSI Design.
237-242
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285769
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BarthS88
2002-12-16
Closing the gap between analog and digital.
774-779
2000
DAC
http://doi.acm.org/10.1145/337292.337775
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#SaabHK00
2002-12-16
Synthesis of application-specific memories for power optimization in embedded systems.
300-303
2000
DAC
http://doi.acm.org/10.1145/337292.337424
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BeniniMMP00
2002-12-16
DRAFTS: Discretized Analog Circuit Fault Simulator.
509-514
1993
DAC
http://doi.acm.org/10.1145/157485.165008
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#NagiCA93
2002-12-16
Functional Abstraction from Structure in VLSI Simulation Models.
822-828
1987
DAC
http://doi.acm.org/10.1145/37888.37916
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LathropHK87
2006-03-14
Delay Modeling and Time of Bipolar Digital Circuits.
288-293
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285777
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#SaabYH88
2002-12-16
An Efficient Parallel Critical Path Algorithm.
535-540
1991
DAC
http://doi.acm.org/10.1145/127601.127728
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LiuDC91
2002-12-16
Analog System Verification in the Presence of Parasitics Using Behavioral Simulation.
159-163
1993
DAC
http://doi.acm.org/10.1145/157485.164648
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#LiuCS93
2002-12-16
A Fast State Assignment Procedure for Large FSMs.
327-332
1995
DAC
http://doi.acm.org/10.1145/217474.217550
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#LiuPD95
2002-12-16
Maximizing Performance by Retiming and Clock Skew Scheduling.
231-236
1999
DAC
http://doi.acm.org/10.1145/309847.309919
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LiuPF99
2002-12-16
<i>ftd</i>: An Exact Frequency to Time Domain Conversion for Reduced Order RLC Interconnect Models.
469-472
1998
DAC
http://doi.acm.org/10.1145/277044.277174
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#LiuPS98
2002-12-16
Model Order-Reduction of RC(L) Interconnect Including Variational Analysis.
201-206
1999
DAC
http://doi.acm.org/10.1145/309847.309914
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#LiuPS99
2002-12-16
Reliable verification using symbolic simulation with scalar values.
124-129
2000
DAC
http://doi.acm.org/10.1145/337292.337336
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#WilsonD00
2002-12-16
Data Flow Partitioning for Clock Period and Latency Minimization.
658-663
1994
DAC
http://doi.acm.org/10.1145/196244.196605
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#LiuSC94
2002-12-16
Transistor-level test generation for physical failures in CMOS circuits.
243-249
1986
DAC
http://doi.acm.org/10.1145/318013.318052
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#ShihA86
2002-12-16
A Probability-Based Approach to VLSI Circuit Partitioning.
100-105
1996
DAC
http://doi.acm.org/10.1145/240518.240538
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#DuttD96
2006-06-01
ILLIADS: A New Fast MOS Timing Simulator Using Direct Equation-Solving Approach.
20-25
1991
DAC
http://doi.acm.org/10.1145/127601.127616
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ShihK91
2002-12-16
Quadratic Boolean Programming for Performance-Driven System Partitioning.
761-765
1993
DAC
http://doi.acm.org/10.1145/157485.165121
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#ShihK93
2002-12-16
Designer Controlled Behavioral Synthesis.
754-757
1989
DAC
http://doi.acm.org/10.1145/74382.74522
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#DuttG89
2006-09-13
Software Environment for a Multiprocessor DSP.
827-830
1999
DAC
http://doi.acm.org/10.1145/309847.310078
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#KalavadeOAS99
2006-06-12
BIST-PLA: A Built-in Self-Test Design of Large Programmable Logic Arrays.
385-391
1987
DAC
http://doi.acm.org/10.1145/37888.37946
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LiuSU87
2002-12-16
Bridging High-Level Synthesis to RTL Technology Libraries.
526-529
1991
DAC
http://doi.acm.org/10.1145/127601.127726
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DuttK91
2002-12-16
On Minimal Closure Constraint Generation for Symbolic Cell Assembly.
736-739
1991
DAC
http://doi.acm.org/10.1145/127601.127761
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#DuttL91
2002-12-16
Cycle and Phase Accurate DSP Modeling and Integration for HW/SW Co-Verification.
964-969
1999
DAC
http://doi.acm.org/10.1145/309847.310107
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#GuerraFTSTZ99
2002-12-16
Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems.
134-139
1999
DAC
http://doi.acm.org/10.1145/309847.309901
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ShinC99
2002-12-16
An Efficient Two-Dimensional Layout Compaction Algorithm.
290-295
1989
DAC
http://doi.acm.org/10.1145/74382.74431
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#ShinL89
2002-12-16
Coupling-Driven Bus Design for Low-Power Application-Specific Systems.
750-753
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/44_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ShinS01
2006-09-13
Flute - a floorplanning agent for full custom VLSI design.
601-607
1986
DAC
http://doi.acm.org/10.1145/318013.318111
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WatanabeA86
2002-12-16
A new routing algorithm and its hardware implementation.
574-580
1986
DAC
http://doi.acm.org/10.1145/318013.318105
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#WatanabeS86
2002-12-16
An Efficient Path Delay Fault Coverage Estimator.
516-521
1994
DAC
http://doi.acm.org/10.1145/196244.196521
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#HeraguBA94
2002-12-16
Converting a 64b PowerPC Processor from CMOS Bulk to SOI Technology.
892-897
1999
DAC
http://doi.acm.org/10.1145/309847.310094
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#AllenBS99
2006-03-14
Test-Set Preserving Logic Transformations.
454-458
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149565
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BatekH92
2002-12-16
A Massively Parallel Algorithm for Fault Simulation on the Connection Machine.
734-737
1989
DAC
http://doi.acm.org/10.1145/74382.74516
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#NarayananP89
2006-03-14
Fast Algorithm for Optimal Layer Assignment.
554-559
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285820
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#KuoCS88
2002-12-16
The MCC CAD Framework Methodology Management System.
694-698
1991
DAC
http://doi.acm.org/10.1145/127601.127753
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#AllenRF91
2006-06-19
Linking TCAD to EDA - Benefits and Issues.
573-578
1991
DAC
http://doi.acm.org/10.1145/127601.127735
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#ChinDBWND91
2002-12-16
Network Partitioning into Tree Hierarchies.
477-482
1996
DAC
http://doi.acm.org/10.1145/240518.240609
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#KuoLC96
2006-02-10
Determining appropriate precisions for signals in fixed-point IIR filters.
656-661
2003
DAC
http://doi.acm.org/10.1145/775832.775998
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#CarlettaVKF03
2002-12-16
A Fault Analysis Method for Synchronous Sequential Circuits.
732-735
1990
DAC
http://doi.acm.org/10.1145/123186.123455
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#KuoLW90
2006-02-10
Interconnect and noise immunity design for the Pentium 4 processor.
938-943
2003
DAC
http://doi.acm.org/10.1145/775832.776068
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Kumar03
2002-12-16
A time and space efficient net extractor.
411-417
1986
DAC
http://doi.acm.org/10.1145/318013.318080
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#NaharS86
2006-03-14
MILO: A Microarchitecture and Logic Optimizer.
403-408
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285795
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#ZandenG88
2006-02-10
Improvements in functional simulation addressing challenges in large, distributed industry projects.
11-14
2003
DAC
http://doi.acm.org/10.1145/775832.775836
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#Schubert03a
2006-03-14
Fast Incremental Circuit Analysis Using Extracted Hierarchy.
495-500
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285810
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#BeattyB88
2002-12-16
Formally Verifying a Microprocessor Using a Simulation Methodology.
596-602
1994
DAC
http://doi.acm.org/10.1145/196244.196575
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#BeattyB94
2006-02-10
A 1.5GHz third generation itanium® 2 processor.
706-709
2003
DAC
http://doi.acm.org/10.1145/775832.776011
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#StinsonR03
2002-12-16
IBM perspectives on the electrical design automation industry (keynote address).
1
1986
DAC
http://doi.acm.org/10.1145/318013.318014
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#Williams86
2002-12-16
Exact Memory Size Estimation for Array Computations without Loop Unrolling.
811-816
1999
DAC
http://doi.acm.org/10.1145/309847.310074
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ZhaoM99
2002-12-16
A New Structural Pattern Matching Algorithm for Technology Mapping.
371-376
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/24_1.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#ZhaoS01
2002-12-16
Symbolic guided search for CTL model checking.
29-34
2000
DAC
http://doi.acm.org/10.1145/337292.337306
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BloemRS00
2002-12-16
Hardware-software co-design of embedded reconfigurable architectures.
507-512
2000
DAC
http://doi.acm.org/10.1145/337292.337559
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#LiCDHKS00
2005-06-09
Finite State Machine Decomposition For Low Power.
758-763
1998
DAC
http://doi.acm.org/10.1145/277044.277235
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#MonteiroO98
2006-03-14
ISIS: A System for Performance Driven Resource Sharing.
285-290
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149448
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#GregoryMF92
2006-03-14
An Automated BIST Approach for General Sequential Logic Synthesis.
3-8
1988
DAC
http://portal.acm.org/citation.cfm?id=285730.285732
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac88.html#Stroud88
2002-12-16
Design and Specification of Embedded Systems in Java Using Successive, Formal Refinement.
70-75
1998
DAC
http://doi.acm.org/10.1145/277044.277058
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#YoungMSTHN98
2006-02-10
Realizable RLCK circuit crunching.
226-231
2003
DAC
http://doi.acm.org/10.1145/775832.775891
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AminCI03
2002-12-16
Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs.
685-690
2002
DAC
http://doi.acm.org/10.1145/513918.514092
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#IyengarCM02
2002-12-16
The MICON System for Computer Design.
135-140
1989
DAC
http://doi.acm.org/10.1145/74382.74406
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#BirminghamGS89
2002-12-16
Knowlege-based expert systems and their application (tutorial session.
531-539
1986
DAC
http://doi.acm.org/10.1145/318013.318098
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac1986.html#BirminghamJK86
2006-03-14
A Case Study in Silicon Compilation Software Engineering, HVDEV High Voltage Device Layout Generator.
82-88
1987
DAC
http://doi.acm.org/10.1145/37888.37900
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Elias87
2002-12-16
Symphony: A Simulation Backplane for Parallel Mixed-Mode Co-Simulation of VLSI Systems.
149-154
1996
DAC
http://doi.acm.org/10.1145/240518.240546
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#TodescoM96
2002-12-16
Improving a PLA Area by Pull-Up Transistor Folding.
608-614
1987
DAC
http://doi.acm.org/10.1145/37888.37984
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#LursinsapG87
2002-12-16
System-Level Design for Test of Fully Differential Analog Circuits.
450-454
1995
DAC
http://doi.acm.org/10.1145/217474.217569
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#VinnakotaHS95
2002-12-16
An Efficient Finite Element Method for Submicron IC Capacitance Extraction.
678-681
1989
DAC
http://doi.acm.org/10.1145/74382.74502
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#MeijsG89
2002-12-16
Delayed Frontal Solution for Finite-Element Based Resistance Extraction.
273-278
1995
DAC
http://doi.acm.org/10.1145/217474.217541
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#MeijsG95
2002-12-16
Improved fault diagnosis in scan-based BIST via superposition.
55-58
2000
DAC
http://doi.acm.org/10.1145/337292.337311
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#BayraktarogluO00
2002-12-16
Test Volume and Application Time Reduction Through Scan Chain Concealment.
151-155
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/10_2.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#BayraktarogluO01
2002-12-16
Automated equivalence checking of switch level circuits .
299-304
2002
DAC
http://doi.acm.org/10.1145/513918.513996
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#JollyPM02
2002-12-16
A Dynamic Programming Approach to the Test Point Insertion Problem.
695-705
1987
DAC
http://doi.acm.org/10.1145/37888.38000
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac87.html#Krishnamurthy87
2002-12-16
A Practical Repeater Insertion Method in High Speed VLSI Circuits.
392-395
1998
DAC
http://doi.acm.org/10.1145/277044.277151
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#CuletuAM98
2002-12-16
Concurrent Design Methodology and Configuration Management of the SIEMENS EWSD - CCS7E Processor System Simulation.
222-227
1995
DAC
http://doi.acm.org/10.1145/217474.217533
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#Albrecht95
2002-12-16
Clock Grouping: A Low Cost DFT Methodology for Delay Testing.
94-99
1994
DAC
http://doi.acm.org/10.1145/196244.196291
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac94.html#FangG94
2002-12-16
M32: A Constructive multilevel Logic Synthesis System.
336-341
1998
DAC
http://doi.acm.org/10.1145/277044.277140
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KravetsS98
2002-12-16
Multi-Way FPGA Partitioning by Fully Exploiting Design Hierarchy.
518-521
1997
DAC
http://doi.acm.org/10.1145/266021.266270
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#FangW97
2002-12-16
Performance-Driven Multi-FPGA Partitioning Using Functional Clustering and Replication.
283-286
1998
DAC
http://doi.acm.org/10.1145/277044.277125
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#FangW98
2002-12-16
System chip test: how will it impact your design?
136-141
2000
DAC
http://doi.acm.org/10.1145/337292.337352
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ZorianM00
2006-04-28
Pushing ASIC performance in a power envelope.
788-793
2003
DAC
http://doi.acm.org/10.1145/775832.776032
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#PuriSCKPSSK03
2006-02-10
Manipulation and characterization of molecular scale components.
276-277
2003
DAC
http://doi.acm.org/10.1145/775832.775902
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#AmlaniZTNT03
2006-03-14
Design and Integration Services (Panel Abstract).
459
1992
DAC
http://doi.acm.org/10.1145/113938.149566
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#Collet92a
2002-12-16
Hardware/Software Co-Simulation in a VHDL-Based Test Bench Approach.
774-779
1997
DAC
http://doi.acm.org/10.1145/266021.266371
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#BauerE97
2006-02-10
Blade and razor: cell and interconnect delay analysis using current-based models.
386-389
2003
DAC
http://doi.acm.org/10.1145/775832.775933
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#CroixW03
2002-12-16
A Fast And Accurate Technique To Optimize Characterization Tables For Logic Synthesis.
337-340
1997
DAC
http://doi.acm.org/10.1145/266021.266132
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac97.html#CroixW97
2005-03-03
SOI Digital CMOS VLSI - a Design Perspective.
709-714
1999
DAC
http://doi.acm.org/10.1145/309847.310034
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac99.html#ChuangP99
2002-12-16
Multi-vendor Tool Integration Experiences (Panel Abstract).
617
1993
DAC
http://doi.acm.org/10.1145/157485.165068
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac93.html#Collett93
2006-03-14
Hcompare: A Hierarchical Netlist Comparison Program.
299-304
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149458
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#BatraC92
2002-12-16
A Statistical Approach to the Estimation of Delay Dependent Switching Activities in CMOS Combinational Circuits.
445-450
1996
DAC
http://doi.acm.org/10.1145/240518.240603
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LimSPS96
2002-12-16
An Optimization-Based Error Calculation for Statistical Power Estimation of CMOS Logic Circuits.
690-693
1998
DAC
http://doi.acm.org/10.1145/277044.277218
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#KwakP98
2006-02-10
A tool for describing and evaluating hierarchical real-time bus scheduling policies.
312-317
2003
DAC
http://doi.acm.org/10.1145/775832.775913
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2003.html#MeyerowitzPS03
2002-12-16
Post-Layout Optimization for Deep Submicron Design.
740-745
1996
DAC
http://doi.acm.org/10.1145/240518.240659
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#SatoKEM96
2002-12-16
Web-based frameworks to enable CAD RD (abstract).
711
2000
DAC
http://doi.acm.org/10.1145/337292.337746
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#CoudertMMS00
2006-03-14
Exact Evaluation of Diagnostic Test Resolution.
347-352
1992
DAC
http://portal.acm.org/citation.cfm?id=113938.149484
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac92.html#KubiakPFS92
2002-12-16
A universal technique for fast and flexible instruction-set architecture simulation.
22-27
2002
DAC
http://doi.acm.org/10.1145/513918.513927
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#NohlBSLMH02
2002-12-16
Automatic Operator Configuration in the Synthesis of Pipelined Architectures.
174-179
1990
DAC
http://doi.acm.org/10.1145/123186.123252
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac90.html#McNallC90
2002-12-16
Test Point Insertion: Scan Paths through Combinational Logic.
268-273
1996
DAC
http://doi.acm.org/10.1145/240518.240568
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#LinMCL96
2002-12-16
A Novel Algorithm for Improving Convergence Behavior of Circuit Simulators.
626-629
1989
DAC
http://doi.acm.org/10.1145/74382.74491
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac89.html#YuZYL89
2002-12-16
Experience in Designing a Large-scale Multiprocessor using Field-Programmable Devices and Advanced CAD Tools.
427-432
1996
DAC
http://doi.acm.org/10.1145/240518.240600
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac96.html#BrownMVCGGGLZS96
2002-12-16
Equivalence Checking of Datapaths Based on Canonical Arithmetic Expressions.
546-551
1995
DAC
http://doi.acm.org/10.1145/217474.217585
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac95.html#ZhouB95
2002-12-16
Optimal low power X OR gate decomposition.
104-107
2000
DAC
http://doi.acm.org/10.1145/337292.337326
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2000.html#ZhouW00
2002-12-16
Global Routing with Crosstalk Constraints.
374-377
1998
DAC
http://doi.acm.org/10.1145/277044.277147
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac98.html#ZhouW98
2002-12-16
Reducing Memory Requirements of Nested Loops for Embedded Systems.
359-364
2001
DAC
http://jamaica.ee.pitt.edu/Archives/ProceedingArchives/Dac/Dac2001/papers/2001/dac01/pdffiles/23_3.pdf
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2001.html#RamanujamHKN01
2002-12-16
SAT with partial clauses and back-leaps.
743-746
2002
DAC
http://doi.acm.org/10.1145/513918.514104
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac2002.html#PilarskiH02
2002-12-16
Channel Density Reduction by Routing Over The Cells.
120-125
1991
DAC
http://doi.acm.org/10.1145/127601.127640
http://www.informatik.uni-trier.de/~ley/db/conf/dac/dac91.html#LinPHL91