2006-06-26
An analytical model for the aliasing probability in signature analysis testing.
1133-1144
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41499
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#DamianiOFR89
2006-06-27
An MOS transistor charge model for VLSI design.
520-527
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.3186
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#SheuHK88
2006-05-22
Controller-based power management for control-flow intensive designs.
1496-1508
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790626
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#DeyRJW99
2006-04-19
Computer-Aided Optimization of DNA Array Design and Manufacturing.
305-320
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.855940
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#KahngMRXZ06
2006-05-03
Web-based IP evaluation and distribution using applets.
985-994
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814946
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#WirthlinM03
2006-04-19
Eliminating false positives in crosstalk noise analysis.
1406-1419
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://dx.doi.org/10.1109/TCAD.2005.850829
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#RanKTWM05
2006-05-24
On the reconfiguration of degradable VLSI/WSI arrays.
1213-1221
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662684
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#LowL97
2006-07-11
A Three-Dimensional Photoresist Imaging Process Simulator for Strong Standing-Wave Effect Environment.
431-438
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270289&count=21&index=14
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#MoniwaMIS87
2006-06-20
GORDIAN: VLSI placement by quadratic programming and slicing optimization.
356-365
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67789
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#KleinhansSJA91
2006-06-20
A universal MOSFET mobility degradation model for circuit simulation.
1123-1126
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.62736
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#YericTB90
2006-06-01
Quasi-three-dimensional modeling of bipolar transistor characteristics.
1742-1748
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248085
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#SadovnikovR93
2006-05-23
Multilevel circuit partitioning.
655-667
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.712098
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#AlpertHK98
2006-06-20
A realistic fault model and test algorithms for static random access memories.
567-572
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.55188
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#DekkerBT90
2006-05-31
Circuit analysis and optimization driven by worst-case distances.
57-71
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.273749
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#AntreichGW94
2006-07-12
A System for Designing, Simulating, and Testing High Performance VLSI Signal Processors.
420-428
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28437&arnumber=1270211&count=10&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#OwensI86
2006-07-12
Channel Routing Algorithms for Overlap Models.
23-30
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270095&count=11&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Hambrusch85
2006-05-11
On the interplay between meshing and discretization inthree-dimensional diffusion simulation.
1233-1240
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892848
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#KosikFHPS00
2006-06-19
Comments on `A method of fault simulation based on stem regions'.
407-408
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.124428
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#LeeH92
2006-05-30
Combinational ATPG theorems for identifying untestable faults in sequential circuits.
1155-1160
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406717
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#AgrawalC95
2006-06-27
Switch-level simulation and the pass transistor EXOR gate.
994-997
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.7797
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#SvenssonT88
2006-05-31
ProperCAD: A portable object-oriented parallel environment for VLSI CAD.
829-842
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293940
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#RamkumarB94
2006-05-23
On crossing minimization problem.
406-418
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.703928
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#ChenL98
2006-04-26
Oct-tree-based multilevel low-rank decomposition algorithm for rapid 3-D parasitic extraction.
1575-1580
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836723
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#GopeJ04
2006-06-01
Exhaustive simulation need not require an exponential number of tests.
1635-1641
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248074
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Brand93
2006-05-11
Boolean matching for LUT-based logic blocks with applications toarchitecture evaluation and technology mapping.
1077-1090
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.945303
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#CongH01
2006-07-12
A Physical and SPICE-Compatible Model for the MOS Depletion Device.
349-356
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270131&count=20&index=19
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Ma85
2006-05-11
Efficient design exploration based on module utility selection.
19-29
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822617
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ChantrapornchaiSH00
2006-05-03
Energy-conscious, deterministic I/O device scheduling in hard real-time systems.
847-858
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814245
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SwaminathanC03
2006-06-26
Synthesizing circuits from behavioural descriptions.
171-180
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21835
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#CamposanoR89
2006-05-11
Accurate transient simulation of transmission lines with the skineffect.
389-396
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.833207
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#Oh00
2006-06-26
Gate matrix partitioning.
756-767
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.31533
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#HuangW89
2006-05-11
Performance-driven technology mapping for heterogeneous FPGAs.
1268-1281
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892851
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#CongX00
2006-04-26
Vector-restoration-based static compaction using random initial omission.
1587-1592
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836720
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#PomeranzR04
2006-04-26
Hierarchical current-density verification in arbitrarily shaped metallization patterns of analog circuits.
80-90
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819899
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#JerkeL04
2006-05-31
A scheduling algorithm for conditional resource sharing-a hierarchical reduction approach.
425-438
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.275353
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#KimYLL94
2006-06-27
Minimization of the number of layers for single row routing with fixed street capacity.
420-424
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.3175
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#GonzalezK88
2006-05-24
Echelon: a multilayer detailed area router.
1126-1136
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536718
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#GuruswamyW96
2006-06-20
Irredundant interacting sequential machines via optimal logic synthesis.
311-325
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67785
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#AsharDN91a
2006-05-23
Using cone structures for circuit partitioning into FPGA packages.
592-600
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.709397
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#BrasenS98
2006-06-26
A quadrisection-based combined place and route scheme for standard cells.
234-244
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.21843
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#SuarisK89
2006-04-19
Composable Behavioral Models and Schematic-Based Simulation of Electrokinetic Lab-on-a-Chip Systems.
258-273
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.855942
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#WangLM06
2006-05-03
Routability-driven white space allocation for fixed-die standard-cell placement.
410-419
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809660
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#YangCS03
2006-05-24
A small-signal MOSFET model for radio frequency IC applications.
437-447
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.631207
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#Abou-AllamM97
2006-05-30
Active timing multilevel fault-simulation with switch-level accuracy.
1241-1256
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466340
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#MeyerC95
2006-06-01
Automatic grid refinement and higher order flux discretization for diffusion modeling.
1209-1216
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.238613
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#LinLL93
2006-04-19
Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies.
58-65
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.853702
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#RaychowdhuryR06
2006-06-27
NETOPT-a program for multiobjective design of linear networks.
567-577
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3194
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#ChristenV88
2006-05-22
Resolving unknown inputs in mixed-level simulation with sequential elements.
1151-1164
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775634
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MeyassedKA99
2006-06-26
HARP: FORTRAN to silicon [compilation system].
649-660
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31521
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#TanakaKK89
2006-05-24
Fast and simple method for calculating the minority-carrier current in arbitrarily doped semiconductors.
1025-1026
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511582
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#Rinaldi96
2006-05-24
Post global routing crosstalk synthesis.
1418-1430
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664224
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#XueKW97
2006-05-31
A method for pseudo-exhaustive test pattern generation.
1170-1178
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.310906
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#KagarisMT94
2006-05-11
System-level data-format exploration for dynamically allocated datastructures.
1469-1472
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969440
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#EllerveeMCH01
2006-05-11
Concurrent error detection schemes for fault-based side-channel cryptanalysis of symmetric block ciphers.
1509-1517
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804378
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KarriWMK02
2006-06-21
A charge conserving non-quasi-state (NQS) MOSFET model for SPICE transient analysis.
629-642
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.79500
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#ParkKH91a
2006-05-24
Reducing power dissipation in CMOS circuits by signal probability based transistor reordering.
361-368
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.489107
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#HossainZA96
2006-06-20
The use of small pivot perturbation in circuit analysis.
1441-1446
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97623
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#MacInnes91
2006-05-31
Event suppression: improving the efficiency of timing simulation for synchronous digital circuits.
814-822
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285254
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#DevadasKMW94
2006-05-03
Guest editorial.
385-386
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809644
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#AlpertS03
2006-04-26
Fast postplacement optimization using functional symmetries.
102-118
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819904
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ChangHHWMCC04
2006-07-25
Problems in Precision Modeling of the MOS Transistor for Analog Applications.
72-79
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270059&count=14&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#TsividisM84
2006-04-19
Piecewise linear model for transmission line with capacitive loading and ramp input.
928-937
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://dx.doi.org/10.1109/TCAD.2005.847895
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ChenH05
2006-06-01
A bounded search algorithm for segmented channel routing for FPGA's and associated channel architecture issues.
1695-1705
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248080
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Roy93
2006-06-19
Multilevel logic synthesis with extended arrays.
142-157
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.124395
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#KimD92
2006-05-03
Modeling nonlinear dynamics in analog circuits via root localization.
895-907
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814256
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#HuangGM03
2006-07-12
Problems of Yield Gradient Estimation for Truncated Probability Density Functions.
30-38
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270175&count=21&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Styblinski86
2006-05-30
Worst-case analysis and optimization of VLSI circuit performances.
481-492
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372370
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#DharchoudhuryK95
2006-07-11
Linking the Behavioral and Structural Domains of Representation for Digital System Design.
103-110
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270252&count=17&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ThomasBR87
2006-05-03
Rigorous integration of semiconductor process and device simulators.
1204-1214
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816219
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#BinderHS03
2006-06-19
Automatic extraction of circuit models from layout artwork for a BiCMOS technology.
732-738
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.137518
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Hook92
2006-06-19
A 2.5 approximation algorithm for the multi-via assignment problem.
1325-1333
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.177396
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#BuiHL92
2006-04-19
HyPE: hybrid power estimation for IP-based systems-on-chip.
1089-1103
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850891
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LiuP05
2006-05-22
Hierarchical test generation and design for testability methods for ASPPs and ASIPs.
357-370
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.748165
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#GhoshRJ99
2006-06-26
Extracting transistor changes from device simulations by gradient fitting.
380-394
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29592
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#CoughranFG89
2006-05-11
On computing the minimum feedback vertex set of a directed graph bycontraction operations.
295-307
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.833199
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#LinJ00
2006-06-01
A novel behavioral testability measure.
1960-1970
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251159
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ChenS93
2006-05-31
QFP wiring problem-introduction and analytical considerations.
48-56
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.273750
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#SudaKWHHG94
2006-06-26
Time-efficient VLSI artwork analysis algorithms in GOALIE2.
640-648
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31520
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#ChiangNL89
2006-05-31
RICE: rapid interconnect circuit evaluation using AWE.
763-776
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285250
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#RatzlaffP94
2006-06-01
A multiple-strength multiple-delay compiled-code logic simulator.
1937-1946
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251157
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ParlakbilekL93
2006-05-11
An Esterel compiler for large control-dominated systems.
169-183
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980257
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#Edwards02
2006-07-11
High-Speed Logic Simulation on Vector Processors.
305-321
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270276&count=21&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#IshiuraYY87
2006-06-20
A new method for floor planning using topological constraint reduction.
1494-1501
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.103499
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#VijayanT91
2006-07-11
Block-Level Hardware Logic Simulation Machine.
46-54
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270245&count=17&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#TakasakiSNKO87
2006-06-19
Comparison of iterative methods for AC analysis in PISCES-IIB.
671-673
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127627
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ApteL92
2006-05-11
Analytical approach to layout generation of datapath cells.
1480-1488
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804376
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#CiesielskiAL02
2006-06-01
Formal definitions of edge-based geometric design rules.
59-69
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184843
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#JeppsonCH93
2006-06-01
Fault simulation of parametric bridging faults in CMOS IC's.
1403-1410
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240087
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#DalpassoFOR93
2006-07-12
Simulated Annealing Without Rejected Moves.
221-228
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270190&count=21&index=18
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#GreeneS86
2006-04-19
On masking of redundant faults in synchronous sequential circuits with design-for-testability logic.
288-294
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2004.840551
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PomeranzR05
2006-04-19
Weibull-based analytical waveform model.
1156-1168
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850826
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#AminDI05
2006-07-12
Two-Dimensional Routing for the Silc Silicon Compiler.
198-203
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270115&count=20&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Ciesielski85
2006-06-19
On exponential fitting for circuit simulation.
566-574
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127618
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#SilveiraWNV92
2006-05-11
SPICE models for flicker noise in p-MOSFETs in the saturationregion.
763-767
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.924829
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ZhouCF01
2006-05-11
A new FPGA detailed routing approach via search-based Booleansatisfiability.
674-684
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1004311
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#NamSR02
2006-05-03
A high-performance architecture and BDD-based synthesis methodology for packet classification.
698-709
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.811449
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PrakashKMA03
2006-04-19
Worst case crosstalk noise for nonswitching victims in high-speed buses.
1275-1283
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850823
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ChenH05a
2006-05-03
Synthesis of reversible logic circuits.
710-722
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.811448
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ShendePMH03
2006-07-11
Asymptotically Perfect Trivial Global Routing: A Stochastic Analysis.
820-827
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270325&count=19&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Sorkin87
2006-07-12
A Statistical Model Including Parameter Matching for Analog Integrated Circuits Simulation.
621-628
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270162&count=37&index=29
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#InohiraSNTI85
2006-05-23
Iterative remapping for logic circuits.
948-964
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728916
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#BeniniVM98
2006-05-03
Projection-based approaches for model reduction of weakly nonlinear, time-varying systems.
171-187
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.806605
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#Phillips03
2006-05-22
Design error diagnosis and correction via test vector simulation.
1803-1816
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811329
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#VenerisH99
2006-06-20
A parasitics extraction and network reduction algorithm for analog VLSI.
145-149
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68401
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#PongB91
2006-05-11
Performance and power effectiveness in embedded processors customizable partitioned caches.
1309-1318
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.959860
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#PetrovO01
2006-05-03
Effective free space management for cut-based placement via analytical constraint generation.
1343-1353
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818126
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#AlpertNV03
2006-05-03
Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves.
560-572
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.810750
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SatoCASH03
2006-06-20
On covering distant minterms by the camp algorithm.
786-789
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.55215
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Biswas90
2006-07-12
Model selection for SOI MOSFET circuit simulation.
541-544
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.3189
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#FossumVF88
2006-06-01
Mixed element trees: a generalization of modified octrees for the generation of meshes for the simulation of complex 3-D semiconductor device structures.
1714-1725
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248082
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Hitschfeld-KahlerCF93
2006-06-27
Yield optimization for nondifferentiable density functions using convolution techniques.
1053-1067
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.7805
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#TangS88
2006-06-27
Bounds on signal delay in RC mesh networks.
581-589
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31514
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#ChanS89
2006-07-26
Modeling Latch-Up in CMOS Integrated Circuits.
157-162
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28422&arnumber=1270006&count=9&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#EstreichD82
2006-05-03
Routability-driven floorplanner with buffer block planning.
470-480
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809649
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ShamY03
2006-05-23
Covering conditions and algorithms for the synthesis of speed-independent circuits.
205-219
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.700719
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#BeerelMM98
2006-05-11
Transient simulation of high-speed interconnects based on thesemidiscretization of Telegrapher's equations.
799-809
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1013893
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#Guo02
2006-06-20
A neural network design for circuit partitioning.
1265-1271
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.62771
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#YihM90
2006-05-03
A comprehensive signature analysis scheme for oscillation-test.
1409-1423
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818133
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#RohA03
2006-07-11
Determining the Zeros and Poles of Linear Circuit Networks Using Function Approximation.
678-690
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270313&count=17&index=16
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#BowmanB87
2006-05-11
Bit-fixing in pseudorandom sequences for scan BIST.
545-555
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.918212
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ToubaM01
2006-06-26
On empty rooms in floorplan graphics: comments on a deficiency in two papers.
795-797
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.31536
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Cai89
2006-07-12
A Hierarchical Timing Simulation Model.
188-197
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270186&count=21&index=14
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#LinM86
2006-05-22
Exterior templates for capacitance computations [interconnections].
248-251
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.743747
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ZemanianC99
2006-05-11
Maximally and arbitrarily fast implementation of linear andfeedback linear computations.
30-43
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822618
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#PotkonjakR00
2006-06-27
Design of large embedded CMOS PLAs for built-in self-test.
50-59
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3129
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#LiuM88
2006-04-26
A new ATPG technique (MultiDetect) for testing of analog macros in mixed-signal circuits.
273-287
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.822110
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#VaraprasadPJA04
2006-07-12
An Efficient Timing Model for CMOS Combinational Logic Gates.
636-650
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270164&count=37&index=31
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#WuHCC85
2006-06-20
A layout modification approach to via minimization.
536-541
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.75637
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#TheWC91
2006-04-26
Fault secure datapath synthesis using hybrid time and hardware redundancy.
1476-1485
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.835132
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#WuK04
2006-06-01
The CDB/HCDB semiconductor wafer representation server.
283-295
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205008
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#WalkerKSS93
2006-05-11
Timing-driven maze routing.
234-241
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.828552
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#HurJL00
2006-05-23
Integrated optimization capabilities in the VISTA technology CAD framework.
1244-1251
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736564
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#PlasunSS98
2006-05-31
Identification of redundant delay faults.
553-565
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277629
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#BrandI94
2006-05-30
High-level DSP synthesis using concurrent transformations, scheduling, and allocation.
274-295
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.365120
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#WangP95
2006-06-20
Rigorous thermodynamic treatment of heat generation and conduction in semiconductor device modeling.
1141-1149
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62751
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Wachutka90
2006-07-11
ADVIS: A Software Package for the Design of Systolic Arrays.
33-40
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270243&count=17&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Moldovan87
2006-04-19
Fault diagnosis of VLSI circuits with cellular automata based pattern classifier.
1115-1131
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850902
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#SikdarGC05
2006-05-03
A transient noise model for frequency-dependent noise sources.
1097-1104
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814956
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SungKK03
2006-07-26
A Database Approach to Communication in VLSI Design.
57-63
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28420&arnumber=1269995&count=5&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#WiederholdBS82
2006-06-26
Self-exercising checkers for unified built-in self-test (UBIST).
203-218
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.21840
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Nicolaidis89
2006-07-12
A Composite Two-Dimensional Process/Device Simulation System (TOPMODE) and its Application for Total Process Designing in Submicron VLSI MOS Device Phase.
365-370
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28437&arnumber=1270205&count=10&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#OngaKOKD86
2006-06-01
An efficient layout style for two-metal CMOS leaf cells and its automatic synthesis.
410-424
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.215003
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HwangHLH93
2006-04-26
High-level crosstalk defect Simulation methodology for system-on-chip interconnects.
1355-1361
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.833612
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#BaiD04
2006-07-27
PISCES-MC: a multiwindow, multimethod 2-D device simulator.
1017-1026
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.7800
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#ChengHD88
2006-07-12
Modeling Time-Dependent Elements for SPICE Transient Analyses.
429-432
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28437&arnumber=1270212&count=10&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#FisherC86
2006-06-20
Hydrodynamic simulation of impact-ionization effects in p-n junctions.
1287-1294
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.88924
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#QuadeRS91
2006-05-22
A new algorithm for exact reduction of incompletely specified finite state machines.
1619-1632
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.806807
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PenaO99
2006-06-27
Hardware accelerators for timing simulation of VLSI digital circuits.
1134-1149
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.9184
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Lewis88
2006-05-22
A synthesis for testability scheme for finite state machines using clock control.
1780-1792
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811327
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#EinspahrMS99
2006-05-30
Datapath synthesis using a problem-space genetic algorithm.
934-944
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.402494
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#DhodhiHSB95
2006-05-24
Rigorous three-dimensional photoresist exposure and development simulation over nonplanar topography.
1431-1438
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664225
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#KirchauerS97
2006-05-30
Test embedding with discrete logarithms.
554-566
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384416
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#LempelGB95
2006-06-26
Two-dimensional process simulation using verified phenomenological models.
643-651
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.79501
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#FairGJKRRS91
2006-07-12
SAMSON2: An Event Driven VLSI Circuit Simulator.
668-684
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270167&count=37&index=34
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#SakallahD85
2006-06-20
Toward massively parallel automatic test generation.
981-994
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.59074
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ChakradharBA90
2006-04-26
A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout].
141-147
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819888
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#HuangTXWL04
2006-06-26
Optimal aspect ratios of building blocks in VLSI.
139-145
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21832
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#WimerKC89
2006-06-20
Global routing based on Steiner min-max trees.
1318-1325
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.62776
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ChiangSW90
2006-06-20
Piecewise approximate circuit simulation.
861-870
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.87597
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#VisweswariahR91
2006-07-12
Transient Simulation of Silicon Devices and Circuits.
436-451
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270142&count=37&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#BankCFGRS85
2006-06-26
Defect-coupled diffusion at high concentrations.
460-467
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24874
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Giles89
2006-05-22
On comparing functional fault coverage and defect coverage for memory testing.
1676-1683
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.806812
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#KimC99
2006-06-20
An efficient microcode compiler for application specific DSP processors.
925-937
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.59069
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#GoossensRVM90
2006-05-31
Functional synthesis of digital systems with TASS.
537-552
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277628
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#AmellalK94
2006-05-03
Synthesis of SPP three-level logic networks using affine spaces.
1310-1323
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818121
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#Ciriani03
2006-05-03
Guest Editorial.
662-664
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.813395
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#HassounNS03
2006-05-22
Optimizing large multiphase level-clocked circuits.
1249-1264
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784118
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MaheshwariS99
2006-07-26
An Algorithm for Optimal PLA Folding.
63-77
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28420&arnumber=1269996&count=5&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#HachtelNS82
2006-04-19
A compact nonquasi-static MOSFET model based on the equivalent nonlinear transmission line.
1550-1561
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://dx.doi.org/10.1109/TCAD.2005.852306
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PesicJ05
2006-05-11
System-on-a-chip test scheduling with precedence relationships, preemption, and power constraints.
1088-1094
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.801102
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#IyengarC02
2006-05-11
Decoupling capacitance allocation and its application topower-supply noise-aware floorplanning.
81-92
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.974140
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ZhaoRK02
2006-07-12
The Role of Semiconductor Device Diameter and Energy-Band Bending in Convergence of Picard Iteration for Gummel's Map.
489-495
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270147&count=37&index=14
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Jerome85
2006-05-23
Hardware/software partitioning for multifunction systems.
819-837
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.720318
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#KalavadeS98
2006-06-01
A computationally efficient unified approach to the numerical analysis of the sensitivity and noise of semiconductor devices.
425-438
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.215004
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#GhioneF93
2006-05-03
An analytic Volterra-series-based model for a MEMS variable capacitor.
124-131
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.806603
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#InnocentWDTSM03
2006-05-24
Efficient generation of diagonal constraints for 2-D mask compaction.
1119-1126
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536717
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BoisC96
2006-06-26
A totally self-checking checker for Borden's code.
731-736
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.31530
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Jha89a
2006-05-30
Efficient calculation of spectral coefficients and their applications.
1328-1341
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.469660
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ThorntonN95
2006-06-01
Applying simulated evolution to high level synthesis.
389-409
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.215002
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#LyM93
2006-05-24
Optimal design of macrocells for low power and high speed.
1160-1166
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536722
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#SanchetiS96
2006-05-03
Power supply transient signal analysis for defect-oriented test.
370-374
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807896
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PlusquellicSPG03
2006-05-22
Diagnosis of clustered faults for identical degree topologies.
1192-1201
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775637
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#TangSW99
2006-05-24
Algorithms for approximate FSM traversal based on state space decomposition.
1465-1478
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.552080
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ChoHMPS96a
2006-05-30
Efficient linear circuit analysis by Pade approximation via the Lanczos process.
639-649
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384428
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#FeldmannF95
2006-05-03
Early probabilistic noise estimation for capacitively coupled interconnects.
337-345
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807892
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#BecerBPH03
2006-05-11
Toward accurate models of achievable routing.
648-659
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920697
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#KahngMS01
2006-05-30
Generalized optimum path search.
1586-1590
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476588
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#Tetelbaum95
2006-06-26
Switchbox routing by stepwise reshaping.
1350-1361
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44515
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#GerezH89
2006-06-27
Bounds and analysis of aliasing errors in linear feedback shift registers.
75-83
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3132
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#WilliamsDGS88
2006-06-20
Multilevel logic synthesis of symmetric switching functions.
436-446
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.75627
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#KimD91
2006-04-26
Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning.
464-471
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.825847
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#KahngX04
2006-05-11
Timed state space exploration using POSETs.
501-520
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.845076
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BelluominiM00
2006-06-20
LiB: a CMOS cell compiler.
994-1005
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85737
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#HsiehHLH91
2006-05-30
Canonical symbolic analysis of large analog circuits withdeterminant decision diagrams.
1-18
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822616
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ShiT00
2006-05-11
A Monte Carlo approach for maximum power estimation based onextreme value theory.
415-432
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.992765
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#EvmorfopoulosSA02
2006-06-01
Intractability in linear switch-level simulation.
829-836
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229758
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HuangB93
2006-05-23
Sequential logic optimization for low power using input-disabling precomputation architectures.
279-284
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.700725
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#MonteiroDG98
2006-05-30
A strongly code disjoint built-in current sensor for strongly fault-secure static CMOS realizations.
1402-1407
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.469665
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#LoDN95
2006-04-19
Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs.
1748-1759
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://dx.doi.org/10.1109/TCAD.2005.852457
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LiM05
2006-07-11
MIS: A Multiple-Level Logic Optimization System.
1062-1081
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270347&count=16&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#BraytonRSW87
2006-07-12
An Integrated Automated Layout Generation System for DSP Circuits.
285-296
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270124&count=20&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#RabaeyPB85
2006-06-20
The Monte Carlo method for semiconductor device and process modeling.
1164-1176
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62753
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Lugli90
2006-05-24
Stable and efficient reduction of large, multiport RC networks by pole analysis via congruence transformations.
734-744
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644034
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#KernsY97
2006-06-19
Modeling of multiconductor systems for packaging and interconnecting high-speed digital IC's.
424-431
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.125090
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#HayesB92
2006-06-20
Test generation and verification for highly sequential circuits.
652-667
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.79502
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#GhoshDN91
2006-05-31
A general numerical procedure for multilayer multistep IC process simulation.
1379-1390
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329266
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#MoallemiZ94
2006-06-20
A fast transistor-chaining algorithm for CMOS cell layout.
781-786
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.55207
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#HwangHLH90
2006-05-24
Test response compaction using multiplexed parity trees.
1399-1408
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543772
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ChakrabartyH96
2006-04-19
PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks.
92-110
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.852438
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#ShangPJ06
2006-05-24
Theory and algorithms for state minimization of nondeterministic FSMs.
1311-1322
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.663820
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#KamVBS97a
2006-06-19
Parallel waveform-Newton algorithms for circuit simulation.
432-442
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.125091
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#XiaS92
2006-06-27
A new approach to the design of built-in self-testing PLAs for high fault coverage.
60-67
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3130
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#UpadhyayaS88
2006-05-23
The complexity of the inclusion operation on OFDD's.
457-459
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.703943
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#DrechslerSS98
2006-06-02
Logic synthesis for field-programmable gate arrays.
1280-1287
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317471
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#HwangOIW94
2006-06-20
An efficient algorithm for parametric fault simulation of monolithic IC's.
1049-1058
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85741
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#StrojwasD91
2006-05-26
Optimal algorithms for recovery point insertion in recoverable microarchitectures.
945-955
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.658563
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#BloughKO97
2006-07-12
Computer-Aided Design of One-Dimensional MOSFET Impurity Profiles.
198-203
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270187&count=21&index=15
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Jaeger86
2006-04-19
Novel algorithms for placement of rectangular covers for mask inspection in advanced lithography and other VLSI design applications.
79-91
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.853710
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#ChakrabortyLM06
2006-06-27
Computation of steady-state CMOS latchup characteristics.
307-323
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3162
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#CoughranPS88
2006-05-24
An efficient multigrid Poisson solver for device simulations.
141-150
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486661
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#SaranitiRZVL96
2006-06-20
Tailor: a layout system based on trapezoidal corner stitching.
66-90
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.45858
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#MarpleSH90
2006-04-26
Simplifying Boolean constraint solving for random simulation-vector generation.
412-420
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.823348
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#YuanAPA04
2006-07-11
A Block Interconnection Algorithm for Hierarchical Layout System.
383-391
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270283&count=21&index=8
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#FukuiYYHM87
2006-05-11
Slope propagation in static timing analysis.
1180-1195
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.802274
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#BlaauwZS02
2006-06-19
A pragmatic approach to integrated process/device/circuit simulation for IC technology development.
505-512
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.125098
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#GreenF92
2006-05-31
Recursive convolution and discrete time domain simulation of lossy coupled transmission lines.
1301-1305
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317472
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#Nguyen94
2006-05-22
Error bounds for capacitance extraction via window techniques.
311-321
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.748161
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#BeattieP99
2006-06-01
Generating minimal covers of symmetric functions.
710-713
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277615
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Dietmeyer93
2006-06-01
Artificial parameter homotopy methods for the DC operating point problem.
861-877
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229761
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#MelvilleTFW93
2006-05-22
Using configurable computing to accelerate Boolean satisfiability.
861-868
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766733
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ZhongMAM99
2006-06-20
Optimality of a feedthrough assignment algorithm in a CMOS logic cell layout.
982-993
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85736
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#ShiraishiSF91
2006-05-22
Static test compaction for synchronous sequential circuits based on vector restoration.
1040-1049
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771184
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PomeranzRG99
2006-05-31
Structural and behavioral synthesis for testability techniques.
777-785
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285251
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ChenKS94
2006-05-22
Sequence compaction for power estimation: theory and practice.
973-993
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771179
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MarculescuMP99
2006-07-12
COMPOSITE -- A Complete Modeling Program of Silicon Technology.
421-430
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270140&count=37&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#LorenzPRSSS85
2006-05-23
A compact and unified MOS DC current model with highly continuous conductances for low-voltage ICs.
169-172
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.681266
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#JenS98
2006-05-30
New RTD large-signal DC model suitable for PSPICE.
167-172
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.370427
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#YanD95
2006-06-01
STOIC: state assignment based on output/input functions.
1123-1131
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.238605
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PomeranzC93
2006-05-24
A three-parameters-only MOSFET subthreshold current CAD model considering back-gate bias and process variation.
343-352
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.602471
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ChenH97
2006-06-20
A tool for hierarchical test generation.
519-524
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.75635
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Kruger91
2006-05-11
Improved integral formulations for fast 3-D method-of-momentssolvers.
1398-1405
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969433
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#TauschWW01
2006-06-20
Exact algorithms for output encoding, state assignment, and four-level Boolean minimization.
13-27
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.62788
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#DevadasN91
2006-06-20
An improved two-way partitioning algorithm with stable performance [VLSI].
1502-1511
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.103500
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#ChengW91
2006-05-11
TAIR: testability analysis by implication reasoning.
152-160
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822627
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ChangJC00
2006-05-22
Interconnection analysis for standard cell layouts.
1512-1519
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790628
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PedramP99
2006-07-25
Signal Delay in General RC Networks.
331-349
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28430&arnumber=1270090&count=7&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#LinM84
2006-05-30
Algorithms and models for cellular based topography simulation.
1104-1114
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406712
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#StrasserS95
2006-05-22
Equivalence checking of combinational circuits using Boolean expression diagrams.
903-917
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771175
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#HulgaardWA99
2006-06-20
Simulation lossless symmetrical three conductor systems.
904-910
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.87600
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#GuggenbuhlMS91
2006-06-20
Estimation of maximum currents in MOS IC logic circuits.
642-654
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.55194
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ChowdhuryB90
2006-06-19
Estimating testing effectiveness of the circular self-test path technique.
1301-1316
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.170992
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#PilarskiKK92
2006-07-11
Modeling and Description of Processor-Based Systems with DTMSII.
116-127
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270254&count=17&index=14
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#KumarT87
2006-05-11
Floorplanning using a tree representation.
281-289
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908471
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#GuoTCY01
2006-05-11
Consistent floorplanning with hierarchical superconstraints.
42-49
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.974136
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#NakatakeKK02
2006-07-11
A Two-Dimensional Integrated Process Simulator: SPIRIT-I.
439-445
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270290&count=21&index=15
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#OhgoTMYSMS87
2006-06-27
Logic design verification via test generation.
138-148
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3141
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#AbadirFK88
2006-06-01
Interpolation of MOSFET table data in width, length, and temperature.
1880-1884
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251151
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#GrahamP93
2006-04-26
Edge separability-based circuit clustering with application to multilevel circuit partitioning.
346-357
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.823353
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#CongL04
2006-05-23
A simple, continuous, analytical charge/capacitance model for the short-channel MOSFET.
631-638
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.709402
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#WintonB98
2006-05-24
Perturb and simplify: multilevel Boolean network optimizer.
1494-1504
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.552082
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ChangMC96
2006-05-11
Theory of latency-insensitive design.
1059-1076
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.945302
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#CarloniMS01
2006-07-12
Multiple Storage Quad Trees: A Simpler Faster Alternative to Bisector List Quad Trees.
413-419
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28437&arnumber=1270210&count=10&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Brown86
2006-05-11
Alphabetic trees-theory and applications in layout-driven logicsynthesis.
58-69
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905675
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#VaishnavP01
2006-05-03
Modular verification of timed circuits using automatic abstraction.
1138-1153
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816214
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ZhengMM03
2006-06-01
Restructuring and logic minimization for testable PLA.
488-496
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.229732
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HwangS93
2006-06-20
The efficient solution of integer programs for hierarchical global routing.
748-753
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.137503
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#HeistermanL91
2006-07-12
Automated Synthesis of Data Paths in Digital Systems.
379-395
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28437&arnumber=1270207&count=10&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#TsengS86
2006-05-03
An effective congestion-driven placement framework.
387-394
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809662
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#BrennerR03
2006-05-22
Redundancy and testability in digital filter datapaths.
631-644
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.759079
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#GoodbyO99
2006-06-20
A hardware logic simulation system.
19-29
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.45853
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#AgrawalD90
2006-06-20
On computing the sizes of detected delay faults.
299-312
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46805
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#IyengarRW90
2006-04-19
Capacitive coupling noise in high-speed VLSI circuits.
478-488
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2004.842798
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#HeydariP05
2006-07-26
VISTA: A VLSI CAD System.
36-52
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28419&arnumber=1269994&count=4&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#DasekingGW82
2006-06-19
An efficient delay test generation system for combinational logic circuits.
926-938
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144857
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ParkM92
2006-04-19
A general hierarchical circuit modeling and simulation algorithm.
418-434
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2004.842815
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#Tan05
2006-05-03
Test enrichment for path delay faults using multiple sets of target faults.
82-90
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.805726
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PomeranzR03
2006-04-26
Efficient power profiling for battery-driven embedded system design.
919-932
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.828137
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#LahiriRD04
2006-05-24
A genetic algorithm framework for test generation.
1034-1044
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.658571
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#RudnickPGN97
2006-06-20
A globally and quadratically convergent algorithm for solving nonlinear resistive networks.
487-499
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.55173
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#YamamuraH90
2006-05-11
Cell-level placement for improving substrate thermal distribution.
253-266
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.828554
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#TsaiK00
2006-06-20
A circuit disassembly technique for synthesizing symbolic layouts from mask descriptions.
959-969
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.59072
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#LinN90
2006-06-20
Formal verification of memory circuits by switch-level simulation.
94-102
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.62795
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Bryant91
2006-06-20
The intertool profile interchange format: a technology CAD environment approach [semiconductor technology].
1157-1162
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85762
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#WongN91
2006-05-03
PROPTEST: a property-based test generator for synchronous sequential circuits.
1080-1091
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814953
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#GuoRP03a
2006-04-19
Delivering global DC convergence for large mixed-signal circuits via homotopy/continuation methods.
66-78
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.852461
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#RoychowdhuryM06
2006-06-06
HiPRIME: hierarchical and passivity preserved interconnect macromodeling engine for RLKC power delivery.
797-806
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://dx.doi.org/10.1109/TCAD.2005.847938
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LeeCCWC05
2006-06-20
A general greedy channel routing algorithm.
204-211
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68407
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#HoIZ91
2006-05-11
Publicly detectable watermarking for intellectual property authentication in VLSI design.
1363-1368
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804205
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#Qu02
2006-05-22
Multilayer chip-level global routing using an efficient graph-based Steiner tree heuristic.
1442-1451
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790621
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#LiuS99
2006-06-20
Three-dimensional effects due to the field oxide in MOS devices analyzed with MINIMOS 5.
856-867
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.57786
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ThurnerS90
2006-05-11
Shortest path search using tiles and piecewise linear costpropagation.
145-158
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980255
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#XingK02
2006-05-11
A comprehensive approach to the partial scan problem using implicitstate enumeration.
810-826
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1013894
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KallaC02
2006-04-19
Threshold network synthesis and optimization and its application to nanotechnologies.
107-118
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2004.839468
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ZhangGZJ05
2006-05-11
SPICE models for flicker noise in n-MOSFETs from subthreshold tostrong inversion.
1293-1303
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892853
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#XieCF00
2006-05-24
Information theoretic measures for power analysis [logic design].
599-610
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.503930
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MarculescuMP96
2006-06-26
Temperature dependence modeling for MOS VLSI circuit simulation.
1065-1073
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.39068
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#WanS89
2006-06-26
Modeling of the distributed gate RC effect in MOSFET's.
1365-1367
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44517
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#KimD89
2006-07-11
Digraph Relaxation for 2-Dimensional Placement of IC Blocks.
55-66
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270246&count=17&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#CiesielskiK87
2006-05-11
Design of hierarchical cellular automata for on-chip test pattern generator.
1530-1539
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804380
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#SikdarGC02
2006-05-30
Transport effects and characteristic modes in the modeling and simulation of submicron devices.
917-923
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.402490
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#JeromeS95
2006-07-11
A Subthreshold Conduction Model for Circuit Simulation of Submicron MOSFET.
574-581
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270304&count=17&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ChanLLP87
2006-04-19
Spanning graph-based nonrectilinear steiner tree algorithms.
1066-1075
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850862
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ZhuZJHY05
2006-06-20
A new nonlinear relaxation scheme for solving semiconductor device equations.
1175-1186
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85764
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#BachDME91
2006-05-24
A global router with a theoretical bound on the optimal solution.
208-216
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486666
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#CardenLC96
2006-06-27
Application of statistical design and response surface methods to computer-aided VLSI device design.
272-288
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3158
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#AlvarezAYWTH88
2006-04-19
Layout verification for mixed-domain integrated MEMS.
563-577
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://dx.doi.org/10.1109/TCAD.2005.844100
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#BaidyaM05
2006-06-20
The fault dropping problem in concurrent event-driven simulation.
968-971
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85734
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#GaiM91
2006-06-26
Discretization problem for multidimensional current flow.
1046-1050
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.39066
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#ShigyoWY89
2006-05-24
Scheduling and control generation with environmental constraints based on automata representations.
166-183
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486663
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#YangMD96
2006-07-11
On Delay Fault Testing in Logic Circuits.
694-703
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270315&count=19&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#LinR87
2006-05-30
Test generation and concurrent error detection in current-mode A/D converters.
1291-1298
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466344
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#WeyKS95
2006-04-26
A delay metric for RC circuits based on the Weibull distribution.
443-447
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.823343
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#LiuKA04
2006-04-19
Area-optimal technology mapping for field-programmable gate arrays based on lookup tables.
999-1013
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850893
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ChowdharyH05
2006-05-11
Principles of substrate crosstalk generation in CMOS circuits.
645-653
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.848086
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BriaireK00
2006-06-27
The efficient simulation of coupled point defect and impurity diffusion.
191-204
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3149
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#KumpD88
2006-04-26
Probabilistic constructive optimization techniques.
859-868
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.828136
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#WongKMP04
2006-07-12
Iterative Methods in Semiconductor Device Simulation.
462-471
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270144&count=37&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#RaffertyPD85
2006-05-30
An algorithm for functional verification of digital ECL circuits.
1546-1556
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476584
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#BrauerK95
2006-05-03
Comments on "Handling soft modules in general nonslicing floorplan using Lagrangian relaxation".
1684-1686
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819428
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#MohC03
2006-06-26
Detection of catastrophic faults in analog integrated circuits.
114-130
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21830
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#MilorV89
2006-05-30
An algorithm for identifying unstable operating points using SPICE.
360-370
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.365126
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#GreenW95
2006-04-26
Interconnect coupling-aware driver modeling in static noise analysis for nanometer circuits.
1256-1263
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.831568
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#BaiCDS04
2006-06-01
A proposed method for dynamic fitting of MOS model parameters.
1503-1507
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256924
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#KovacsH93
2006-06-26
Enhancing random-pattern coverage of programmable logic arrays via masking technique.
1022-1025
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35555
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Fujiwara89
2006-04-19
An efficient routing tree construction algorithm with buffer insertion, wire sizing, and obstacle considerations.
600-608
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://dx.doi.org/10.1109/TCAD.2005.844107
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#DechuSC05
2006-05-03
On integrating power and signal routing for shield count minimization in congested regions.
437-445
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809654
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SaxenaG03
2006-07-11
Charge-Sharing Models for Switch-Level Simulation.
1053-1061
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270346&count=16&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ChuH87
2006-07-26
Global Wiring by Simulated Annealing.
215-222
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28426&arnumber=1270039&count=12&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#VecchiK83
2006-05-11
Return-limited inductances: a practical approach to on-chipinductance extraction.
425-436
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.838992
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ShepardT00
2006-07-11
Switch-Level Logic Simulation of Digital Bipolar Circuits.
251-258
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28440&arnumber=1270269&count=15&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#HajjS87
2006-05-23
Clock skew reduction in ASIC logic design: a methodology for clock tree management.
344-356
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.703824
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#BalboniCPQS98
2006-05-24
Automatic synthesis of low-power gated-clock finite-state machines.
630-643
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.503933
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BeniniM96
2006-05-11
Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics.
942-956
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.936376
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#WuQP01
2006-05-23
NEWS: a net-even-wiring system for the routing on a multilayer PGA package.
182-189
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.681268
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#TsaiWC98
2006-06-27
A macrocell approach for VLSI processor design.
1272-1277
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16805
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#TokudaKSSKFOT88
2006-05-12
Search pruning techniques in SAT-based branch-and-bound algorithmsfor the binate covering problem.
505-516
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.998623
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ManquinhoM02
2006-04-19
On reducing test application time for scan circuits using limited scan operations and transfer sequences.
1594-1605
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://dx.doi.org/10.1109/TCAD.2005.852285
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ChoPR05
2006-05-31
Don't care sequences and the optimization of interacting finite state machines.
865-874
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293943
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#RhoS94
2006-05-11
On the nonenumerative path delay fault simulation problem.
1095-1101
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.801108
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KagarisT02
2006-06-27
BEAVER: a computational-geometry-based tool for switchbox routing.
684-697
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.3208
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#CohoonH88
2006-05-11
A 3D thermal simulation tool for integrated devices-Atar.
105-115
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905679
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#SmyWD01
2006-06-19
A module generator based on the PQ-tree algorithm.
876-884
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144851
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#HeebF92
2006-05-24
Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm.
410-423
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.494704
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#GlaserV96
2006-06-27
Test generation for sequential circuits.
1081-1093
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.7807
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#MaDNS88
2006-05-30
Automatic generation of analytical models for interconnect capacitances.
470-480
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372374
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ChoudhuryS95
2006-05-23
Event suppression by optimizing VHDL programs.
682-691
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.712100
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#ParkP98
2006-05-11
Delay and current estimation in a CMOS inverter with an RC load.
80-89
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905677
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#HafedOR01
2006-05-30
On the generation of area-time optimal testable adders.
1049-1066
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406707
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#BeckerDM95
2006-04-26
Multiphase BIST: a new reseeding technique for high test-data compression.
1429-1446
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.833617
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#KalligerosKN04
2006-06-20
Optimizing interacting finite state machines using sequential don't cares.
1473-1484
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.103497
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Devadas91
2006-07-25
A Highly Routable ULM Gate Array and Its Automated Customizaton.
27-40
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270054&count=14&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#JenningsHM84
2006-06-20
The analysis of one-dimensional linear cellular automata and their aliasing properties.
767-778
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.55213
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#SerraSMM90
2006-06-26
Mismatch simulation for layout sensitive parameters of IC components and devices.
101-107
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21828
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#TrosterT89
2006-07-27
Improved physical modeling of submicron MOSFETs based on parameter extraction using 2-D simulation.
370-379
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29591
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#HwangD89
2006-07-25
Corner Stitching: A Data-Structuring Technique for VLSI Layout Tools.
87-100
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270061&count=14&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#Ousterhout84
2006-04-19
Analysis and methodology for multiple-fault diagnosis.
558-575
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.854624
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#WangMTR06
2006-06-20
Learning redesign knowledge circuit redesign.
1047-1062
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.62731
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Simoudis90
2006-05-11
Automatic 2-D and 3-D simulation of parasitic structures insmart-power integrated circuits.
791-798
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1013892
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#GnaniGVCR02
2006-05-24
Optimal testing of VLSI analog circuits.
58-77
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.559332
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ChaoLM97
2006-07-11
A Parallel Solution Method for Large Sparse Systems of Equations.
981-991
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270339&count=16&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#LucasBT87
2006-05-11
Design of reconfigurable composite microsystems based on hardware/software codesign principles.
987-995
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.800455
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ZhangCF02
2006-05-23
Multiobjective optimization of VLSI interconnect parameters.
1252-1261
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736565
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#AnandSK98
2006-06-20
SHORTFINDER: a graphical CAD tool for locating net-to-net shorts in VLSI chip layouts.
669-674
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.55197
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Gannett90
2006-05-24
2-D mesh adaption and flux discretizations for dopant diffusion modeling.
194-207
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486665
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#LinL96
2006-06-27
Simulation of microcrack effects in dissolution of positive resist exposed by X-ray lithography.
755-764
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.3946
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#GuerrieriN88
2006-04-19
Poor man's TBR: a simple model reduction scheme.
43-55
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2004.839472
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PhillipsS05
2006-05-31
A cell-based hierarchical pitchmatching compaction using minimal LP.
523-526
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372379
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#YaoCDNL95
2006-05-31
Routability-driven technology mapping for lookup table-based FPGA's.
13-26
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.273753
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#SchlagKC94
2006-04-26
Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction.
1142-1153
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.829797
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#RosingerAN04
2006-05-24
Domain characterization of transmission line models and analyses.
184-193
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486664
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#GuptaKP96
2006-05-30
The schema-based approach to workflow management.
1257-1267
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466341
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#BrockmanD95
2006-05-03
A simplified model for the effect of interfinger metal on maximum temperature rise in a multifinger bipolar transistor.
15-25
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.805727
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#WalkeyCS03
2006-06-20
The intertool profile interchange format: an object-oriented approach [semiconductor technology CAD/CAM].
1150-1156
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85761
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#BoningHW91
2006-04-26
System modeling and transformational design refinement in ForSyDe [formal system design].
17-32
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819898
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#SanderJ04
2006-05-24
Implicit computation of compatible sets for state minimization of ISFSMs.
657-676
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644029
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#KamVBS97
2006-05-30
On squashing hierarchical designs [VLSI].
1398-1402
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.469668
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#Kaser95
2006-06-19
A time-based model for investigating parallel logic-level simulation.
816-824
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144846
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Bailey92a
2006-07-25
FABRICS II: A Statistically Based IC Fabrication Process Simulator.
40-46
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270055&count=14&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#NassifSD84
2006-05-30
Optimal wiresizing under Elmore delay model.
321-336
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.365123
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#CongL95
2006-09-13
SAMC: a code compression algorithm for embedded processors.
1689-1701
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811316
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#LekatsasW99
2006-06-19
A hierarchical test pattern generation system based on high-level primitives.
34-44
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108617
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#SarfertMST92
2006-05-03
ESDInspector: a new layout-level ESD protection circuitry design verification tool using a smart-parametric checking mechanism.
1421-1428
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.833613
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ZhanFWXGCW04
2006-05-22
Exploiting symmetry when verifying transistor-level circuits by symbolic trajectory evaluation.
918-935
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771176
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PandeyB99
2006-05-31
Analytical MOSFET model for quarter micron technologies.
610-615
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277634
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#Miura-Mattausch94
2006-05-31
Event-based verification of synchronous, globally controlled, logic designs against signal flow graphs.
122-134
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.273743
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#AeltenAD94
2006-06-26
Adaptive mesh generation preserving the quality of the initial grid.
490-500
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24877
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#CiampoliniFPGRB89
2006-04-19
Compressible area fill synthesis.
1169-1187
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850859
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ChenKRZZ05
2006-05-30
Combinational and sequential logic optimization by redundancy addition and removal.
909-916
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.391740
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#Entrena-ArrontesC95
2006-06-19
Layout placement for sliced architecture.
102-114
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108623
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#LarmoreGW92
2006-06-27
On using signature registers as pseudorandom pattern generators in built-in self-testing.
919-928
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.3223
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#KimHT88
2006-05-11
Correction to "a two-stage solution approach to multidimensional periodic scheduling".
1479-1479
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2001.969442
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#VerhaeghAGL01a
2006-05-24
Efficient net extraction for restricted orientation designs [VLSI layout].
1151-1159
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536721
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#LopezJS96
2006-05-23
Mixed-element decomposition method for three-dimensional grid adaptation.
561-572
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.709394
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#LeitnerS98
2006-06-20
Pin assignment with global routing for general cell designs.
1401-1412
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97619
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Cong91
2006-05-22
A DRC-based algorithm for extraction of critical areas for opens in large VLSI circuits.
151-162
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.743724
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PleskaczOM99
2006-05-11
Applying a robust heteroscedastic probabilistic neural network toanalog fault detection and classification.
142-151
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822626
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#YangZCW00
2006-06-01
Simulation and modeling of p-n-p-n optical switches.
666-671
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277611
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Fardi93
2006-06-19
A probabilistic fault model for `analog' faults in digital CMOS circuits.
1459-1462
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.177408
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#FavalliOR92
2006-06-01
The design and implementation of a concurrent circuit simulation program for multicomputers.
1004-1014
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.238037
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PetersonM93
2006-05-31
Efficient symbolic simulation-based verification using the parametric form of Boolean expressions.
1005-1015
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.298036
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#JainG94
2006-06-20
Consistency checking and optimization of macromodels.
957-967
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85733
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#JuRS91
2006-06-20
A massively parallel algorithm for three-dimensional device simulation.
1201-1209
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85767
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#WebberTGTS91
2006-06-26
Improved deterministic test pattern generation with applications to redundancy identification.
811-816
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.31539
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#SchulzA89
2006-05-24
Analysis of convergence properties of a stochastic evolution algorithm.
826-831
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503949
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MaoH96
2006-05-11
Equipotential shells for efficient inductance extraction.
70-79
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905676
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#BeattieKAP01
2006-05-22
On the efficiency of formal synthesis-experimental results.
25-32
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.739056
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#BlumenrohrES99
2006-06-19
Geometric compaction on channel routing.
115-127
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108624
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ChengDSTB92
2006-06-27
A compact IGFET model-ASIM.
952-975
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.7794
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#LeeR88
2006-05-24
Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations.
493-505
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.506137
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#DemirLS96
2006-05-03
Transparent scan: a new approach to test generation and test compaction for scan circuits that incorporates limited scan operations.
1663-1670
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819424
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PomeranzR03c
2006-06-20
Synthesis of arithmetic hardware using hardware metafunctions.
793-803
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.57787
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ChinS90
2006-06-19
On the performance bounds for a class of rectilinear Steiner tree heuristics in arbitrary dimension.
1462-1465
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.177409
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#KahngR92a
2006-05-11
A constructive genetic algorithm for gate matrix layout problems.
969-974
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.800454
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#OliveiraL02
2006-05-23
A layout approach to monolithic microwave IC.
1262-1272
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736566
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#NagaoSK98
2006-05-31
Automated transformation of algorithms into register-transfer level implementations.
150-166
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259939
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#PengK94
2006-05-30
Optimum clustering for delay minimization.
1490-1495
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476579
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#RajaramanW95
2006-05-31
An efficient nonquasi-static diode model for circuit simulation.
231-239
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259946
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#YangLY94
2006-05-03
Optimal decoupling capacitor sizing and placement for standard-cell layout designs.
428-436
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809658
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SuSN03
2006-05-23
A matrix synthesis approach to thermal placement.
1166-1174
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.736189
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#ChuW98
2006-05-30
TRACER-fpga: a router for RAM-based FPGA's.
371-374
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.365127
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ChenLWL95
2006-05-30
Delay-fault testability preservation of the concurrent decomposition and factorization transformations.
582-590
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384420
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#El-MalehR95
2006-07-11
Design Tools for Intelligent Silicon Compilation.
1098-1112
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270350&count=16&index=15
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#PangrleG87
2006-04-19
Early power estimation for VLSI circuits.
1076-1088
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850904
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#BuyuksahinN05
2006-05-11
Preferred direction Steiner trees.
1368-1372
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804105
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#YildizM02
2006-06-01
A heuristic single-row router minimizing interstreet crossings.
946-955
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.238031
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#BatalamaPP93
2006-06-27
A parity bit signature for exhaustive testing.
333-338
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.3166
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Akers88
2006-05-31
Inverter models of CMOS gates for supply current and delay evaluation.
1271-1279
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317470
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#Nabavi-LishiR94
2006-05-03
Multilevel global placement with congestion control.
395-409
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809661
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ChangCPY03
2006-07-11
A Parallel Simulated Annealing Algorithm for the Placement of Macro-Cells.
838-847
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270327&count=19&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#CasottoRS87
2006-07-26
Editorial.
1
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28423&arnumber=1270014&count=7&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#Rohrer83
2006-06-20
SKOL: a system for logic synthesis and technology mapping.
1342-1355
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97614
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Bergamaschi91
2006-05-22
Hierarchical finite state machines with multiple concurrency models.
742-760
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766725
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#GiraultLL99
2006-06-20
Multistack optimization for data-path chip layout.
116-129
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.62797
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#LukD91
2006-05-30
Pseudo-exhaustive built-in TPG for sequential circuits.
1160-1171
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406718
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KagarisTB95
2006-06-19
Using an asymmetric error model to study aliasing in signature analysis registers.
16-25
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108615
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#XavierAIA92
2006-06-20
Comments on `Asymptotic waveform evaluation for timing analysis'.
1078-1079
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85745
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Chan91
2006-05-31
A cache-based method for accelerating switch-level simulation.
211-218
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259944
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#JonesB94
2006-06-01
A table lookup FET model for accurate analog circuit simulation.
324-335
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205011
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#RofougaranA93
2006-05-03
A simple metric for slew rate of RC circuits based on two circuit moments.
1346-1354
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.833607
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#AgarwalSB04a
2006-06-27
A submicrometer MOS transistor I-V model for circuit simulation.
161-170
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68403
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#MasudaMISA91
2006-07-11
Metal--Metal Matrix (M /sup 3/) for High-Speed MOS VLSI Layout.
886-891
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270331&count=19&index=16
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Kang87
2006-06-01
3-valued trace-based fault simulation of synchronous sequential circuits.
1419-1424
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240090
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#SongM93a
2006-05-11
Theory of safe replacements for sequential circuits.
249-265
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908455
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#SinghalPAB01
2006-06-01
An efficient algorithm for some multirow layout problems.
1178-1185
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.238610
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#FeldmanWW93
2006-05-22
Slicing floorplans with boundary constraints.
1385-1389
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784129
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#YoungWY99
2006-05-11
Primitive path delay faults: identification and their use in timinganalysis.
1347-1362
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892858
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#SivaramanS00
2006-05-22
Models and algorithms for bounds on leakage in CMOS circuits.
714-725
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766723
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#JohnsonSR99
2006-05-11
Renormalization group meshes and the discretization of TCAD equations.
1425-1433
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804383
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#SchoenmakerMMM02
2006-04-19
Efficient identification of (critical) testable path delay faults using decision diagrams.
77-87
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2004.839488
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PadmanabanT05
2006-06-27
On the verification of sequential machines at differing levels of abstraction.
713-722
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.3210
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#DevadasMN88
2006-05-24
Addendum to "Synthesis of robust delay-fault testable circuits: Theory".
445-446
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.494708
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#DevadasK96
2006-05-03
Accurate crosstalk noise modeling for early signal integrity analysis.
627-634
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.810741
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#DingBM03
2006-05-24
Performance analysis and optimization of mixed asynchronous synchronous systems.
473-484
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.631210
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#TeichTSM97
2006-05-24
On the fault coverage of gate delay fault detecting tests.
78-94
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.559333
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#PramanickR97
2006-07-25
Global Routing for Gate Array.
298-307
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28430&arnumber=1270088&count=7&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#LiM84
2006-04-19
General skew constrained clock network sizing based on sequential linear programming.
773-782
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://dx.doi.org/10.1109/TCAD.2005.846362
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#WangRJMM05
2006-05-11
Pipeline vectorization.
234-248
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908452
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#WeinhardtL01
2006-05-30
Investigation into the properties of the explicit method for the resolution of the semiconductor device equations.
459-463
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372372
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#PleumeekersSM95
2006-05-03
Postroute gate sizing for crosstalk noise reduction.
1670-1677
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836736
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#BecerBAPOZH04
2006-06-20
A new methodology for the design centering of IC fabrication processes.
895-903
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.87599
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#LowD91
2006-05-24
Simulation of semiconductor devices using a Galerkin/spherical harmonic expansion approach to solving the coupled Poisson-Boltzmann system.
1181-1196
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.541439
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#RahmatWA96
2006-05-11
DTT: direct truncation of the transfer function - an alternative tomoment matching for tree structured interconnect.
131-144
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980254
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#IsmailF02
2006-07-12
Ion Implantation Calculations in Two Dimensions Using the Boltzmann Transport Equation.
679-684
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270237&count=22&index=21
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Giles86
2006-07-12
FAUST: An MOS Fault Simulator with Timing Information.
557-563
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270226&count=22&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#ShihRA86
2006-05-11
Model-based dummy feature placement for oxide chemical-mechanicalpolishing manufacturability.
902-910
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.931037
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#TianWB01
2006-05-30
Finite element analysis of SiGe heterojunction devices.
803-814
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.391728
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KrishnaACB95
2006-06-20
An adaptive grid refinement strategy for the drift-diffusion equations.
1251-1258
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.88921
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#BurglerCF91
2006-07-10
EDA in IBM: past, present, and future.
1476-1497
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.898827
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#DarringerDHKLMRRSTT00
2006-05-24
Compact test sets for high defect coverage.
923-930
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.644620
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ReddyPK97
2006-07-11
Layering Algorithms For Single-Row Routing.
95-102
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270251&count=17&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#HanS87
2006-05-11
A system for full-chip and critical net parasitic extraction for ULSI interconnects using a fast 3-D field solver.
325-338
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.833201
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BachtoldSLL00
2006-07-12
Glitter: A Gridless Variable-Width Channel Router.
459-465
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270217&count=22&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#ChenK86
2006-06-20
Table-based modeling of delta-sigma modulators using ZSIM.
142-150
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.46780
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#BraunsBSPA90
2006-06-27
Implementation of VLSI self-testing by regularization.
1261-1271
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16804
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#YouH88
2006-05-24
A fast algorithm for minimizing the Elmore delay to identified critical sinks.
753-759
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644036
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#BorahOI97
2006-06-26
Analytical approaches to the combinatorial optimization in linear placement problems.
630-639
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31519
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Chowdhury89
2006-05-11
Architectures and synthesis algorithms for power-efficient businterfaces.
969-980
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.863637
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BeniniMPS00
2006-05-03
Test pattern generation and clock disabling for simultaneous test time and power reduction.
363-370
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807890
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ChenYL03
2006-06-19
Two new techniques for unit-delay compiled simulation.
1120-1130
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159998
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Maurer92
2006-06-26
<sup>2</sup>) algorithms for graph planarization.
257-267
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.21845
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#JayakumarTS89
2006-06-01
Parity-scan design to reduce the cost of test application.
1604-1611
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256936
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#FujiwaraY93
2006-05-22
Single-probe traversal optimization for testing of MCM substrate interconnections.
1178-1191
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775636
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PendurkarTC99
2006-06-20
A general and flexible switchbox router: CARIOCA.
1307-1317
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.62775
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#DuboisPT90
2006-06-20
A transformational approach to synthesizing combinational circuits.
286-295
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67783
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#TaiDL91
2006-05-11
Statistical method for the analysis of interconnects delay insubmicrometer layouts.
957-966
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.936377
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#BrambillaM01
2006-05-11
CMOS circuit verification with symbolic switch-level timingsimulation.
458-474
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.913762
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#McDonaldB01
2006-06-20
Representing large cell maps.
1238-1241
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62761
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Kuo90
2006-07-26
Implications of Analytical Investigations About the Semiconductor Equations on Device Modeling Programs.
52-64
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270057&count=14&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#SelberherR84
2006-07-11
Channel-Routing Problem in the Knock-Knee Mode Is NP-Complete.
503-506
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270298&count=17&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Sarrafzadeh87
2006-05-22
An integrated logical and physical design flow for deep submicron circuits.
1305-1315
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784122
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#SalekLP99
2006-05-30
Delay-testable implementations of symmetric functions.
772-775
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.387738
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KeM95a
2006-06-26
On the complexity of computing tests for CMOS gates.
973-980
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35549
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Chakravarty89
2006-05-31
Low-pass filter for computing the transition density in digital circuits.
1123-1131
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.310901
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#Najm94
2006-07-11
A Practical CAD System Application for Full Custom VLSI Microcomputer Chips.
364-373
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270281&count=21&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#KurosawaYKMN87
2006-05-31
A time dependent hydrodynamic device simulator SNU-2D with new discretization scheme and algorithm.
899-908
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293947
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ChoiAPMH94
2006-06-20
Three-dimensional nonequilibrium interface conditions for electron transport at band edge discontinuities.
1136-1140
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62750
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Schroeder90
2006-06-26
Two-dimensional analysis of a merged BiPMOS device.
929-932
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.31554
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#KuoRD89
2006-07-12
Dogleg Channel Routing is NP-Complete.
31-41
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270096&count=11&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Szymanski85
2006-05-24
Valid clock frequencies and their computation in wavepipelined circuits.
791-807
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503946
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#LamBS96
2006-05-30
Near-optimal critical sink routing tree constructions.
1417-1436
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476573
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#BoeseKMR95
2006-06-20
A problem independent parallel implementation of simulated annealing: models and experiments.
827-835
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.57790
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Roussel-RagotD90
2006-06-20
An efficient output phase assignment for PLA minimization.
1-7
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.45851
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#WeyC90
2006-06-26
Automated design tool execution in the Ulysses design environment.
279-287
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.21847
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#BushnellD89
2006-05-31
Timed Boolean calculus and its applications in timing analysis.
318-337
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265674
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#HuangPS94
2006-05-24
Global rebuilding of OBDD's avoiding memory requirement maxima.
131-134
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.486279
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BernMS96a
2006-05-30
A novel scheme to reduce test application time in circuits with full scan.
1577-1586
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476587
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#PradhanS95
2006-05-30
Exploiting multicycle false paths in the performance optimization of sequential logic circuits.
1067-1075
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406708
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#AsharDM95
2006-05-31
A rapid, stable decoupled algorithm for solving semiconductor hydrodynamic equations.
1425-1428
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329272
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#KatoKKIH94
2006-04-19
Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects.
1326-1335
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://dx.doi.org/10.1109/TCAD.2005.852061
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#Sukharev05
2006-07-11
A New Design-Centering Methodology for VLSI Device Development.
452-461
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270292&count=21&index=17
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#AokiMSS87
2006-07-25
Hardware Compilation from an RTL to a Storage Logic Array Target.
208-217
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270077&count=13&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#HillNCCM84
2006-06-19
Calculating the effects of linear dependencies in m-sequences used as test stimuli.
83-86
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108621
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Bardell92
2006-05-24
Analysis and synthesis of concurrent digital circuits using control-flow expressions.
854-876
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511567
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#CoelhoM96
2006-05-24
Symbolic timing analysis and resynthesis for low power of combinational circuits containing false paths.
1101-1115
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662674
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#BaharCHMS97
2006-05-24
Improving a nonenumerative method to estimate path delay fault coverage.
759-762
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644037
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#HeraguABP97
2006-06-20
Parallel algorithms for VLSI circuit extraction.
604-618
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.79498
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#BelkhaleB91
2006-06-26
An analytical approach to floorplanning for hierarchical building blocks layout [VLSI].
403-412
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29594
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#YingW89
2006-05-22
Efficient extra material critical area algorithms.
1480-1486
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790624
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#AllanW99
2006-07-12
Simulation and Design Analysis of (A1Ga)As/GaAs MODFET Integrated Circuits.
284-292
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28436&arnumber=1270197&count=12&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#HyunSC86
2006-04-19
On modeling crosstalk faults.
1909-1915
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://dx.doi.org/10.1109/TCAD.2005.852670
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#KunduZCT05
2006-04-19
A scalable algorithm for RTL insertion of gated clocks based on ODCs computation.
29-42
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2004.839489
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#BabighianBM05
2006-05-24
Algorithms for an FPGA switch module routing problem with application to global routing.
32-46
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.559330
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ThakurCWM97
2006-04-19
Delay analysis of CMOS gates using modified logical effort model.
937-947
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://dx.doi.org/10.1109/TCAD.2005.847892
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#KabbaniAA05
2006-04-26
Testing SoC interconnects for signal integrity using extended JTAG architecture.
800-811
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826540
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#TehranipourAN04
2006-06-26
Two-dimensional semiconductor device analysis based on new finite-element discretization employing the S-G scheme.
468-478
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24875
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#TanYZKS89
2006-06-19
Optimized synthesis of asynchronous control circuits from graph-theoretic specifications.
1426-1438
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.177405
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#VanbekbergenGCM92
2006-05-22
Synthesizing controllers from real-time specifications.
33-43
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.739057
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#Dierks99
2006-05-11
A fast signature computation algorithm for LFSR and MISR.
1031-1040
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.863643
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#LinSW00
2006-05-24
Functional test generation for synchronous sequential circuits.
831-843
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503950
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#SrinivasJA96
2006-06-01
Nonoscillatory streamline upwind formulations for drift-diffusion equation.
1535-1541
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256928
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#YieZ93
2006-07-12
A Method for Improving Cascode-Switch Macro Wirability.
150-155
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28432&arnumber=1270108&count=6&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#SchlagYHW85
2006-05-24
Logic decomposition during technology mapping.
813-834
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.644605
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#LehmanWGH97
2006-06-20
An extension of probabilistic simulation for reliability analysis of CMOS VLSI circuits.
1372-1381
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97616
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#NajmHY91
2006-06-19
Synthesis of robust delay-fault-testable circuits: theory.
87-101
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108622
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#DevadasK92
2006-05-30
Delay fault coverage, test set size, and performance trade-offs.
32-44
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.363125
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#LamSBS95
2006-05-11
Fingerprinting techniques for field-programmable gate arrayintellectual property protection.
1253-1261
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.952741
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#LachMP01
2006-05-03
WATSON: design space boundary exploration and model generation for analog and RFIC design.
213-224
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.806598
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#SmedtG03
2006-04-26
Statistical timing analysis of coupled interconnects using quadratic delay-change characteristics.
1677-1683
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.837720
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ChenH04
2006-06-26
The Byzantine hardware fault model.
1226-1231
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41508
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#NanyaG89
2006-06-26
Comparisons of quad trees and 4-D trees: new results [VLSI layout].
1157-1164
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41501
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#PitaksanonkulTL89
2006-06-26
Switch-level simulation of total dose effects on CMOS VLSI circuits.
933-938
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35545
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#BhuvaPGK89
2006-05-24
Multiple constant multiplications: efficient and versatile framework and algorithms for exploring common subexpression elimination.
151-165
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486662
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#PotkonjakSC96
2006-06-20
Asymptotic waveform evaluation for timing analysis.
352-366
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.45867
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#PillageR90
2006-06-19
Dynamic and static load balancing for solving block bordered circuit equations on multiprocessors.
1086-1094
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159994
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Zhang92
2006-06-01
PLS: a scheduler for pipeline synthesis.
1279-1286
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240075
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HwangHL93
2006-07-12
Modeling of Lithography Related Yield Losses for CAD of VLSI Circuits.
166-177
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270112&count=20&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Maly85
2006-05-30
Efficient and effective placement for very large circuits.
349-359
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.365125
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#SunS95
2006-05-23
Design-for-testability for path delay faults in large combinational circuits using test points.
333-343
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.703823
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#PomeranzR98a
2006-06-27
A technique for pull-up transistor folding.
887-896
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.3220
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#LursinsapG88
2006-05-03
A methodology for optimal repeater insertion in pipelined interconnects.
1613-1624
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819422
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#Cocchini03
2006-05-03
Timing constraints for domino logic gates with timing-dependent keepers.
96-103
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.805724
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#JungKK03
2006-07-26
Edisim: A Graphical Simulator Interface for LSI Design.
57-61
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28424&arnumber=1270021&count=9&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#Hill83
2006-04-19
Dynamically partitioned test scheduling with adaptive TAM configuration for power-constrained SoC testing.
956-965
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://dx.doi.org/10.1109/TCAD.2005.847893
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ZhaoU05
2006-05-24
A smoothed boundary condition for reducing nonphysical field effects.
420-423
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.602478
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#SmithPHB97
2006-06-01
A new one-and-half layer channel routing algorithm based on assigning resources for CMOS gate array.
250-264
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205005
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ZhuWZC93
2006-06-26
The exploitation of latency and multirate behavior using nonlinear relaxation for circuit simulation.
1286-1298
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44509
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#SalehN89
2006-04-26
Synthetic circuit generation using clustering and iteration.
869-887
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.828132
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#KundarewichR04
2006-05-24
On estimation accuracy for guiding low-power resynthesis.
644-664
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.503934
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#LennardN96
2006-05-11
A probably approximately correct framework to estimate performancedegradation in embedded systems.
749-762
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1013889
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#Alippi02
2006-06-01
An efficient algorithm for bipartite PLA folding.
1839-1847
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251147
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#LiuS93
2006-05-11
Testing of core-based systems-on-a-chip.
426-439
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.913760
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#RaviLJ01
2006-05-11
Timing-driven partitioning and timing optimization of mixedstatic-domino implementations.
1322-1336
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892856
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ZhaoS00
2006-06-20
A parallel branch and bound algorithm for test generation.
313-322
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46806
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#PatilB90
2006-07-26
Simulation of Critical IC-Fabrication Steps.
384-397
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270136&count=37&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#PichlerJSGP85
2006-05-11
Switching well noise modeling and minimization strategy for digitalcircuits with a controllable threshold voltage scheme.
654-670
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.848087
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#KoyamaUAKT00
2006-06-20
Optimal cell generation for dual independent layout styles.
770-782
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.137506
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#CarlsonCS91
2006-05-31
A correctness criterion for asynchronous circuit validation and optimization.
1309-1318
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329261
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#GopalakrishnanBMN94
2006-05-11
Estimating routing congestion using probabilistic analysis.
32-41
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.974135
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#LouTKS02
2006-04-26
Physically rigorous modeling of internal laser-probing techniques for microstructured semiconductor devices.
60-70
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819895
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ThalhammerW04
2006-05-11
Architectural energy optimization by bus splitting.
408-414
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.992764
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#HsiehP02
2006-06-19
Newton waveform relaxation techniques for tightly coupled systems.
598-606
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127621
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ErdmanR92
2006-06-27
Two-stage channel routing for CMOS gate arrays.
439-450
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.3179
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#SongC88
2006-05-11
Charge-sharing alleviation and detection for CMOS domino circuits.
266-280
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908469
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ChangCJLW01
2006-05-11
On diagnosis and diagnostic test generation for pattern-dependenttransition faults.
791-800
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.924832
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#PomeranzR01a
2006-06-01
Multiple fault testing using minimal single fault test set for fanout-free circuits.
149-157
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184851
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#JoneM93
2006-05-24
Retiming edge-triggered circuits under general delay models.
1393-1408
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664222
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#LalgudiP97
2006-05-30
Behavior and testability preservation under the retiming transformation.
528-543
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.631217
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#El-MalehMRM97
2006-05-24
Postlayout logic restructuring using alternative wires.
587-596
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.640617
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ChangCWM97
2006-06-27
Improved net merging method for gate matrix layout.
947-951
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.7793
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#ShuWK88
2006-06-19
IC defect sensitivity for footprint-type spot defects.
638-658
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127625
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#GyvezD92
2006-04-26
Minimizing total power by simultaneous V/sub dd//V/sub th/ assignment.
665-677
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826551
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#SrivastavaS04
2006-06-20
Probabilistic simulation for reliability analysis of CMOS VLSI circuits.
439-450
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.45875
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#NajmBYH90
2006-05-31
Algorithms for simulation of three-dimensional etching.
616-624
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277635
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#TohNS94
2006-05-11
Application-specific clustered VLIW datapaths: early exploration on a parameterized design space.
889-903
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.800451
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#LapinskiiJV02
2006-05-22
Configuration compression for the Xilinx XC6200 FPGA.
1107-1113
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775631
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#HauckLS99
2006-07-26
An Algorithm to Compact a VLSI Symbolic Layout with Mixed Constraints.
62-69
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28424&arnumber=1270022&count=9&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#LiaoW83
2006-06-01
The role of long and short paths in circuit performance optimization.
857-864
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293942
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ChengCDL94
2006-07-11
The Outline Procedure in Pattern Data Preparation for Vector-Scan Electron-Beam Lithography.
145-151
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270256&count=17&index=16
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#KomatsuS87
2006-05-12
BIST hardware synthesis for RTL data paths based on testcompatibility classes.
1375-1385
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892861
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#NicoliciABW00
2006-06-19
A novel geometric resizing technique for data conversion from CAD data to electron beam exposure data.
1104-1113
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159996
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#OkuboWWS92
2006-06-27
Polynomial splines for MOSFET model approximation.
557-566
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3193
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#BarbyVS88
2006-05-31
Delay models for CMOS, BiCMOS and BiNMOS circuits and their applications for timing simulations.
1132-1142
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.310902
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#EmbabiD94
2006-05-11
Aggressor alignment for worst-case crosstalk noise.
612-621
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920689
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ChenM01
2006-07-26
Local Relaxation Algorithms for Event-Driven Simulation of MOS Networks Including Assignable Delay Modeling.
193-202
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28425&arnumber=1270036&count=8&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#DumlugolMSS83
2006-07-12
Macromodeling and Optimization of Digital MOS VLSI Circuits.
659-678
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270236&count=22&index=20
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#MatsonG86
2006-06-19
Modeling phosphorus diffusion in three dimensions.
487-496
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.125096
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#RichardsonCM92
2006-05-24
On-line and off-line testing with shared resources: a new BIST approach.
1045-1056
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.658572
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#SunS97
2006-05-03
Timing analysis with crosstalk is a fixpoint on a complete lattice.
1261-1269
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816211
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#Zhou03
2006-06-27
MUSTANG: state assignment of finite state machines targeting multilevel logic implementations.
1290-1300
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16807
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#DevadasMNS88
2006-05-24
Fast true delay estimation during high level synthesis.
1088-1105
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536715
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BhattacharyaDB96
2006-05-30
Considering testability at behavioral level: use of transformations for partial scan cost minimization under timing and area constraints.
531-546
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384414
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#PotkonjakDR95
2006-05-31
Block placement with a Boltzmann Machine.
694-701
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285242
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#GloriaFO94
2006-07-12
An Efficient and Reliable Approach for Semiconductor Device Parameter Extraction.
170-179
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270184&count=21&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#WangLC86
2006-05-11
A parallel built-in self-diagnostic method for embedded memoryarrays.
449-465
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.992768
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#HuangJ02
2006-07-12
Practical Integration of Process, Device, and Circuit Simulation.
554-560
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270157&count=37&index=24
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#SokelM85
2006-05-11
Test set compaction algorithms for combinational circuits.
957-963
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.856980
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#HamzaogluP00
2006-04-26
Nonlinear driver models for timing and noise analysis.
1510-1521
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.835136
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#TutuianuBJ04
2006-04-26
Compact, netlist-based representation of thermal transient coupling using controlled sources.
1593-1596
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836722
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#WalkeySCMM04
2006-06-27
Simultaneous signature and syndrome compression.
584-589
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3196
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#RobinsonS88
2006-05-24
Minimal buffer insertion in clock trees with skew and slew rate constraints.
333-342
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.602470
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#TellezS97
2006-05-30
Min-cut replication in partitioned networks.
96-106
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.363121
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#HwangG95
2006-06-20
Statistics associated with spatial fault simulation used for evaluating integrated circuit yield enhancement.
399-406
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67793
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Stapper91
2006-05-31
An automatic biasing scheme for tracing arbitrarily shaped I-V curves.
310-317
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265673
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#GoossensBYD94
2006-06-01
Berkeley reliability tools-BERT.
1524-1534
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256927
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#TuRCLMQKH93
2006-06-01
A provably good multilayer topological planar routing algorithm in IC layout designs.
70-78
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184844
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#CongHS93
2006-04-19
Power grid analysis using random walks.
1204-1224
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850863
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#QianNS05a
2006-05-11
A comparison of strong and weak distributed transverse couplingbetween VLSI interconnects.
1472-1478
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969441
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#Ozkaramanli01
2006-07-11
Placement by Simulated Annealing on a Multiprocessor.
534-549
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270301&count=17&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#KravitzR87
2006-04-26
X-compact: an efficient response compaction technique.
421-432
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.823341
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#MitraK04
2006-06-01
SALSA: a new approach to scheduling with timing constraints.
1107-1122
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.238604
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#NestorK93
2006-05-11
On the design of fast large fan-in CMOS multiplexers.
963-967
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.856981
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#Lin00
2006-05-03
Complex instruction and software library mapping for embedded software using symbolic algebra.
964-975
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814951
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PeymandoustSM03
2006-04-26
LPRAM: a novel low-power high-performance RAM design with testability and scalability.
637-651
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826581
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#BhattacharjeeP04
2006-05-24
Specification and analysis of timing constraints for embedded systems.
240-256
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.594830
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#GuptaM97
2006-04-26
On the characterization and efficient computation of hard-to-detect bridging faults.
1640-1649
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.837725
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#PomeranzRK04
2006-06-27
An enhanced power meter for SPICE2 circuit simulation.
641-643
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3201
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Fisher88
2006-05-22
ErrorTracer: design error diagnosis based on fault simulation techniques.
1341-1352
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784125
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#HuangC99
2006-05-31
<sub>DDQ</sub>testing of bridging faults in CMOS circuits.
1413-1418
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329270
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#BollingerM94
2006-06-20
Automatic modeling of switch-level networks using partial orders [MOS circuits].
696-707
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.55209
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#AgrawalRS90
2006-06-27
Generalized Manhattan path algorithm with applications.
797-804
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.3950
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Asano88
2006-06-19
An algorithm for L-shaped channel routing in a diagonal model.
267-270
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.124405
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Song92
2006-05-24
Process variation effects on circuit performance: TCAD simulation of 256-Mbit technology [DRAMs].
1383-1389
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.663828
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#MurthyG97
2006-05-03
Buffer insertion with adaptive blockage avoidance.
492-498
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809647
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#HuAQG03
2006-05-11
Critical area computation for missing material defects in VLSIcircuits.
583-597
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920683
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#Papadopoulou01
2006-05-31
Yield enhancement of programmable ASIC arrays by reconfiguration of circuit placements.
976-986
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.298034
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#NarasimhamNRD94
2006-05-11
Path delay fault diagnosis in combinational circuits with implicitfault enumeration.
1226-1235
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.952739
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#PantHGC01
2006-04-19
Multiobjective hypergraph-partitioning algorithms for cut and maximum subdomain-degree minimization.
504-517
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.854637
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#SelvakkumaranK06
2006-05-03
Measurements for structural logic synthesis optimizations.
665-674
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.811456
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#KudvaSD03
2006-05-11
Analysis and generation of control and observation structures foranalog circuits.
165-171
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905684
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#WenL01
2006-06-01
A delay-based model for circuit parallelism.
1903-1912
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251154
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Bailey93
2006-04-19
Quasi-static scheduling of independent tasks for reactive systems.
1492-1514
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://dx.doi.org/10.1109/TCAD.2005.852038
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#CortadellaKLPW05
2006-06-27
Parallel standard cell placement algorithms with quality equivalent to simulated annealing.
387-396
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.3172
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#RoseSV88
2006-05-24
A unified triode/saturation model with an improved continuity in the output conductance suitable for CAD of VLSI circuits using deep sub-0.1 µm NMOS devices.
256-258
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486670
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ChenK96
2006-05-11
Performance-driven high-level synthesis with bit-level chaining andclock selection.
199-212
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908436
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ParkC01
2006-05-24
A multifrequency technique for frequency response computation with application to switched-capacitor circuits with nonlinearities.
775-790
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503945
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MuellerAS96
2006-06-21
Relative scheduling under timing constraints: algorithms for high-level synthesis of digital circuits.
696-718
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.137516
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#KuM92
2006-05-11
A postprocessing algorithm for crosstalk-driven wire perturbation.
691-702
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.848090
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#SaxenaL00
2006-05-31
A new approach to over-the-cell channel routing with three layers.
187-200
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259942
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#TeraiNTS94
2006-06-20
Iterative algorithms for computing aliasing probabilities.
260-265
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68413
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#IvanovSADGW91
2006-06-01
Efficient via shifting algorithms in channel compaction.
1848-1857
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251148
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#CaiW93a
2006-05-22
Sequence-pair approach for rectilinear module placement.
484-493
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.752931
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#XuGC99
2006-07-12
A boundary element method for modeling viscoelastic flow in thermal oxidation.
215-224
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3151
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#TungCA88
2006-05-03
Three-level logic minimization based on function regularities.
1005-1016
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814950
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#BernasconiCLP03
2006-06-20
Layout optimization of static CMOS functional cells.
708-719
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.55210
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#MaziaszH90
2006-05-03
Layout driven synthesis of multiple scan chains.
317-326
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807886
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#RahimiS03
2006-06-20
Channel ordering for VLSI layout with rectilinear modules.
1425-1431
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97621
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#WongG91
2006-06-01
Critical path selection for performance optimization.
185-195
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205000
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ChenDL93
2006-07-25
Correction to "Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications".
256-256
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270083&count=13&index=12
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#MicheliS84
2006-04-26
Structural FSM traversal.
598-619
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826552
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#StoffelWWK04
2006-05-11
3-D Thermal-ADI: a linear-time chip level transient thermal simulator.
1434-1445
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804385
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#WangC02
2006-05-24
A discrete syntax for level-sensitive latched circuits having n clocks and m phases.
111-126
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.486277
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#JenningsJ96
2006-06-26
A general-purpose two-dimensional process simulator-OPUS for arbitrary structures.
23-32
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.21815
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#NishiSKUMU89
2006-07-11
A Systolic Design-Rule Checker.
22-32
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270242&count=17&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#KaneS87
2006-05-11
Correction to "interconnect synthesis without wire tapering".
497-497
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.992775
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#AlpertDFQ02
2006-07-25
Module Placement Based on Resistive Network Optimization.
218-225
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270078&count=13&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#ChengK84
2006-04-19
Robust, stable time-domain methods for solving MPDEs of fast/slow systems.
226-239
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2004.841073
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#MeiRCHD05
2006-06-27
MOSTSM: a physically based charge conservative MOSFET model.
1229-1236
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16801
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#MasudaAMY88
2006-06-26
Improved gate matrix layout.
875-889
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.31547
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#HuangW89a
2006-04-19
System-Level Simulation of Flow-Induced Dispersion in Lab-on-a-Chip Systems.
294-304
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.858354
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#BedekarWKSS06
2006-05-22
A physics-based semiconductor noise model suitable for efficient numerical implementation.
1730-1740
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811322
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PiazzaKJ99
2006-04-26
Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique.
136-141
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819910
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#AlpertCGHHKQ04
2006-05-24
Optimal algorithms for planar over-the-cell routing problems.
1365-1378
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543769
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#DandaLMPST96
2006-05-31
A new dynamic test vector compaction for automatic test pattern generation.
353-358
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265676
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#AyariK94
2006-04-26
Synthesis of single/dual-rail mixed PTL/static logic for low-power applications.
229-242
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.822121
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ChoC04
2006-05-30
Synthesis of hazard-free asynchronous circuits with bounded wire delays.
61-86
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.363123
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#LavagnoKS95
2006-06-26
New implicit integration method for efficient latency exploitation in circuit simulation.
1051-1064
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.39067
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#CoxBYH89
2006-06-01
Performance optimization of pipelined logic circuits using peripheral retiming and resynthesis.
568-578
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277605
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#MalikSBS93
2006-06-01
Empirical evaluation of multilevel logic minimization tools for a lookup-table-based field-programmable gate array technology.
713-722
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277616
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#SchlagCK93
2006-06-26
Layer assignment for VLSI interconnect delay minimization.
702-707
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31525
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Ciesielski89
2006-06-19
An improved analytical short-channel MOSFET model valid in all regions of operating for analog/digital circuit simulation.
1522-1528
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.180265
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ChowFK92
2006-06-19
Mixed frequency/time domain analysis of nonlinear circuits.
1032-1043
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.149774
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#GriffithN92
2006-05-24
On error correction in macro-based circuits.
1088-1100
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662673
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#PomeranzR97a
2006-05-30
Integer programming based topology selection of cell-level analog circuits.
401-412
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372366
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#MaulikCR95
2006-05-03
Min-cost flow-based algorithm for simultaneous pin assignment and routing.
870-878
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814258
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#XiangTW03
2006-06-27
CAPCAL-a 3-D capacitance solver for support of CAD systems.
549-556
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3192
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#SeidlKSOR88
2006-07-25
Foreword.
1-2
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270050&count=14&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#AnagnostopoulosC84
2006-06-26
Routing in general junctions.
1174-1184
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41503
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#MaddilaZ89
2006-04-26
Bus-driven floorplanning.
1522-1530
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836728
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#XiangTW04
2006-04-26
Automated bus generation for multiprocessor SoC design.
1531-1549
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.835119
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#RyuM04
2006-06-27
TRIM: testability range by ignoring the memory.
38-49
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3128
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#CarterHW88
2006-04-19
An efficient profile-based algorithm for scratchpad memory partitioning.
1660-1676
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://dx.doi.org/10.1109/TCAD.2005.852299
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#AngioliniBC05
2006-04-19
Intra-task voltage scheduling on DVS-enabled hard real-time systems.
1530-1549
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://dx.doi.org/10.1109/TCAD.2005.852036
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ShinK05
2006-05-31
Lower-bound performance estimation for the high-level synthesis scheduling problem.
451-458
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.275355
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#RimJ94
2006-04-26
Fair watermarking using combinatorial isolation lemmas.
1566-1574
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.836730
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#WongMP04
2006-05-31
Numerical modeling of a micromachined thermal conductivity gas pressure sensor.
1247-1256
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317468
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#AllegrettoSHLR94
2006-05-30
Fault coverage estimation by test vector sampling.
590-596
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384421
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#HeraguAB95
2006-05-11
Hierarchical buffered routing tree generation.
554-567
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.998627
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#SalekLP02
2006-07-11
A Formal Approach to Design-Rule Checking.
561-573
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270303&count=17&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ModarresL87
2006-05-23
Test sequences to achieve high defect coverage for synchronous sequential circuits.
1017-1029
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728921
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#PomeranzR98b
2006-05-23
An interpolated flux scheme for cellular automaton device simulation.
553-560
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.709393
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#FukudaN98
2006-05-24
On the integration of partitioning and global routing for rectilinear placement problems.
83-91
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.486274
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#YehW96
2006-05-11
Learning as applied to stochastic optimization for standard-cellplacement.
516-527
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.918210
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#SuBNP01
2006-06-27
Numerical simulation of the gas immersion laser doping (GILD) process in silicon.
205-214
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3150
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#LandiCS88
2006-06-26
New approaches in a 3-D one-carrier device solver.
528-537
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24881
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#WuLWD89
2006-05-22
A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing.
787-798
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766728
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ChuW99a
2006-06-01
Lexicographical expressions of Boolean functions with application to multilevel synthesis.
1642-1654
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248075
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#SaucierA93
2006-04-19
A priori wirelength and interconnect estimation based on circuit characteristic.
1054-1065
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850868
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#BalachandranB05
2006-07-11
On the Repair of Redundant RAM's.
222-231
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28440&arnumber=1270266&count=15&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#WeyL87
2006-06-20
Acceleration of relaxation-based circuit simulation using a multiprocessor system.
1063-1072
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.62732
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#OdentCM90
2006-05-31
An object-oriented approach to device simulation-FLOODS.
1235-1240
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317466
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LiangL94
2006-06-20
Generic linear RC delay modeling for digital CMOS circuits.
367-376
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.45868
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#DengS90
2006-05-11
Buffer minimization in pass transistor logic.
693-697
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920711
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ZhouA01
2006-05-03
The power grid transient simulation in linear time based on 3-D alternating-direction-implicit method.
1545-1550
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818373
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#LeeC03
2006-05-23
A unified MOSFET channel charge model for device modeling in circuit simulation.
641-644
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.712096
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#ChengCIH98
2006-05-23
Full-wave analysis of high-speed interconnects using complex frequency hopping.
997-1016
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728920
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#AcharNZ98
2006-07-25
An Approach to Topological Pin Assignment.
250-255
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270082&count=13&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#Brady84
2006-07-12
Hierarchical VLSI Routing - An Approximate Routing Procedure.
121-126
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28432&arnumber=1270104&count=6&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#PatelSK85
2006-05-23
Random pattern testability of memory address logic.
1310-1318
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736570
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#Savir98
2006-06-27
A new discretization strategy of the semiconductor equations comprising momentum and energy balance.
231-242
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3153
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#ForghieriGCGRB88
2006-06-01
Device and circuit simulation of anomalous DX trap effects in DCFL and SCFL HEMT inverters.
1758-1761
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248087
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#WangWH93
2006-06-01
Optimal realizations of floorplans [VLSI layout].
793-801
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229753
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ChongS93a
2006-05-03
Partial BIST insertion to eliminate data correlation.
374-379
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807893
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ZhangH03
2006-06-20
On river routing with minimum number of jogs.
271-273
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68415
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#TuanT91
2006-05-11
Designing electronic engines with electronic engines: 40 years ofbootstrapping of a technology upon itself.
1404-1427
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.898824
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#Jess00
2006-05-03
On optimal hyperuniversal and rearrangeable switch box designs.
1637-1649
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819430
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#FanLWC03
2006-06-19
A mobility model including the screening effect in MOS inversion layer.
1114-1119
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159997
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ShirahataKKKA92
2006-04-19
High-level delay test generation for modular circuits.
576-590
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.853697
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#YiH06
2006-07-25
Chip Level Modeling of LSI Devices.
288-297
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28430&arnumber=1270087&count=7&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#Armstrong84
2006-07-26
Automatic Variable-Width Routing for VLSI.
271-284
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28426&arnumber=1270045&count=12&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#RothermelM83
2006-06-01
A novel hierarchical approach for proximity effect correction in electron beam lithography.
1508-1514
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256925
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HarafujiMNKY93
2006-05-11
Area fill synthesis for uniform layout density.
1132-1147
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.802278
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ChenKRZ02
2006-07-11
Finding a Maximum Planar Subset of a Set of Nets in a Channel.
93-94
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270250&count=17&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Supowit87
2006-07-12
Statistical Circuit Simulation Modeling of CMOS VLSI.
15-22
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270173&count=21&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#HerrB86
2006-05-31
Exact calculation of synchronizing sequences based on binary decision diagrams.
1024-1034
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.298038
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#PixleyJH94
2006-05-23
Locating bridging faults using dynamically computed stuck-at fault dictionaries.
876-887
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.720323
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#GongC98
2006-05-24
Performance computation for precharacterized CMOS gates with RC loads.
544-553
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.506141
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#DartuMP96
2006-06-26
Two methods to improve the performance of Monte Carlo simulations of ion implantation in amorphous targets.
108-113
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21829
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#SchieM89
2006-05-31
Nonisothermal device simulation using the 2D numerical process/device simulator TRENDY and application to SOI-devices.
293-302
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265671
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#WolbertWKM94
2006-05-11
Augmentation of SPICE for simulation of circuits containingresonant tunneling diodes.
39-50
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905673
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#BhattacharyaM01
2006-05-11
Test scheduling for core-based systems using mixed-integer linearprogramming.
1163-1174
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.875306
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#Chakrabarty00
2006-04-19
Energy- and performance-aware mapping for regular NoC architectures.
551-562
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://dx.doi.org/10.1109/TCAD.2005.844106
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#HuM05
2006-06-26
Force-directed scheduling for the behavioral synthesis of ASICs.
661-679
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.31522
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#PaulinK89
2006-06-01
A stochastic model to predict the routability of field-programmable gate arrays.
1827-1838
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251146
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#BrownRV93
2006-07-11
A Scanline Data Structure Processor for VLSI Geometry Checking.
780-794
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270321&count=19&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#CarlsonR87
2006-07-12
A New Symbolic Channel Router: YACR2.
208-219
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270117&count=20&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#ReedSS85
2006-05-11
Simulation of high-speed distributed interconnects usingKrylov-space techniques.
799-808
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.851995
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#GunupudiNA00
2006-07-11
Topological Optimization of Multiple-Level Array Logic.
915-941
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270335&count=16&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#DevadasN87
2006-05-23
Hazard-free implementation of speed-independent circuits.
749-771
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.720313
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#KondratyevKY98
2006-05-23
LOT: Logic Optimization with Testability. New transformations for logic synthesis.
386-399
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.703921
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#ChatterjeePK98
2006-04-20
A Pattern-Mining Method for High-Throughput Lab-on-a-Chip Data Analysis.
358-377
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.855960
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#YoonBD06
2006-09-13
Algorithms for optimizing, two-dimensional symbolic layout compaction.
451-466
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.3180
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#WolfMND88
2006-06-01
Minimizing total wire length by flipping modules.
167-175
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184854
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ChongS93
2006-06-20
Experiences with concurrent fault simulation of diagnostic programs.
621-628
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.55192
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#DembaULG90
2006-07-11
Genetic Placement.
956-964
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270337&count=16&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#CohoonP87
2006-04-19
Efficient datapath merging for partially reconfigurable architectures.
969-980
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.850844
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#MoreanoBSA05
2006-07-11
Efficient Algorithms for Layer Assignment Problem.
67-78
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270247&count=17&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ChangD87
2006-05-03
A fast technique based on perfectly matched layers for the full-wave solution of 2-D dispersive microstrip lines.
1650-1656
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819425
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#RogierZ03
2006-07-25
An Approximation Problem for the Multi-Via Assignment Problem.
257-264
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28430&arnumber=1270084&count=7&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#Gonzalez84
2006-06-01
Constrained via minimization.
273-282
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205007
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#AhnS93
2006-05-30
A partition and resynthesis approach to testable design of large circuits.
1268-1276
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466342
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KanjilalCA95a
2006-06-01
Comments on `Simulation of lossless symmetrical three conductor systems' by W. Guggenbuhl et al.
1617-1619
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256942
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PalusinskiLV93
2006-05-31
Analysis of nonuniform, frequency-dependent high-speed interconnects using numerical inversion of Laplace transform.
1513-1525
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.331408
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ManneyNZ94
2006-05-03
Satometer: how much have we searched?
995-1004
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814960
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#AloulSS03
2006-05-11
Wire space estimation and routability analysis.
624-628
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.845089
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#SongTZW00
2006-09-13
How to build a hardware description and measurement system on an object-oriented programming language.
288-301
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.21848
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Wolf89
2006-05-22
An output encoding problem and a solution technique.
761-768
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766726
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MitraAM99
2006-05-24
On the nature and inadequacies of transport timing delay constructs in VHDL descriptions.
894-915
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.644615
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#WalkerG97
2006-04-19
Intrabus crosstalk estimation using word-level statistics.
469-478
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2004.842799
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#GuptaK05
2006-06-27
Numerical simulator for superconducting integrated circuits.
245-251
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68411
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Rollins91
2006-05-22
Test set selection for structural faults in analog IC's.
1026-1039
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771183
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#DevarayanadurgSGH99
2006-07-12
Stochastic Models for Wireability Analysis of Gate Arrays.
52-65
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270177&count=21&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#SastryP86
2006-06-26
Generation of performance constraints for layout.
860-874
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.31546
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#NairBHY89
2006-04-19
Finite memory test response compactors for embedded test applications.
622-634
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://dx.doi.org/10.1109/TCAD.2005.844111
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#RajskiTWR05
2006-07-12
Automatic Design for Testability Via Testability Measures.
3-11
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270093&count=11&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#ChenB85
2006-05-23
MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems.
920-935
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728914
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#DickJ98
2006-04-19
A method for detecting interconnect DSM defects in systems on chip.
197-204
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.853707
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#YangP06
2006-05-03
Lossy transmission line simulation based on closed-form triangle impulse responses.
748-755
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.811445
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ZhouDP03
2006-06-26
OASYS: a framework for analog circuit synthesis.
1247-1266
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44506
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#HarjaniRC89
2006-06-20
Design representation in Movie.
335-345
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67787
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Andersson91
2006-07-26
A New Automatic Logic Interconnection Verification System for VLSI Design.
70-82
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28424&arnumber=1270023&count=9&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#WatanabeEM83
2006-05-03
Minimum buffered routing with bounded capacitive load for slew rate and reliability control.
241-253
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.807888
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#AlpertKLMZ03
2006-05-11
Routability-driven repeater block planning for interconnect-centricfloorplanning.
660-671
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920700
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#SarkarK01
2006-05-22
Probabilistic design of integrated circuits with correlated input parameters.
1214-1219
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775639
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#SeifiPV99
2006-05-23
Stratified random sampling for power estimation.
465-471
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.703828
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#DingWHP98
2006-05-24
Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability.
1514-1521
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664232
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#NowickJC97
2006-05-24
iTEM: a temperature-dependent electromigration reliability diagnosis tool.
882-893
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.644613
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#TengCRK97
2006-06-20
Review of physical models for numerical simulation of semiconductor microsensors.
1198-1208
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62757
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#NathanBA90
2006-06-01
Improving the performance of parallel relaxation-based circuit simulators.
1762-1774
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248088
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HungWGS93
2006-06-20
Temperature measurement and equilibrium dynamics of simulated annealing placements.
253-259
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46801
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#RoseKW90
2006-06-20
Massively parallel algorithms for scattering in optical lithography.
1091-1100
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85755
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#GuerrieriTGN91
2006-06-20
On the complexity of connectivity binding.
1460-1465
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97625
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Pangrle91
2006-07-25
Characterizing the LSI Yield Equation from Wafer Test Data.
123-126
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270065&count=7&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#SethA84
2006-05-11
IGRAINE-an Implication GRaph-bAsed engINE for fast implication, justification, and propagation.
907-927
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.856977
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#TafertshoferGA00
2006-05-03
Twin binary sequences: a nonredundant representation for general nonslicing floorplan.
457-469
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809651
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#YoungCS03
2006-06-20
Constrained via minimization for systolic arrays.
537-542
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.55183
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Molitor90
2006-05-30
3-D numerical modeling of thermal flow for insulating thin film using surface diffusion.
631-638
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384427
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#FujinagaTKUKT95
2006-04-19
Microfluidic Injector Models Based on Artificial Neural Networks.
378-385
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.855936
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#MagargleHM06
2006-05-24
Minimization of exclusive sum-of-products expressions for multiple-valued input, incompletely specified functions.
385-395
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.494702
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#SongP96
2006-05-11
Leakage power bounds in CMOS digital technologies.
731-738
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1004317
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#FerreF02
2006-06-20
Pull up transistor folding.
512-521
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.55181
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#LiS90
2006-05-22
Methodology for electromigration critical threshold design rule evaluation.
576-581
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.759073
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ClementRCT99
2006-06-01
Sequential test generation and synthesis for testability at the register-transfer and logic levels.
579-598
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277606
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#GhoshDN93
2006-07-11
Fast and Coherent Simulation with Zero Delay Elements.
85-93
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270249&count=17&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#GaiSS87
2006-05-24
Wire sizing as a convex optimization problem: exploring the area-delay tradeoff.
1001-1011
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511579
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#Sapatnekar96
2006-05-11
Low-power weighted random pattern testing.
1389-1398
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892863
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ZhangSR00
2006-05-11
Interconnect layout optimization under higher order RLC model forMCM designs.
1455-1463
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969438
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#CongKM01
2006-06-27
Dynamic testability measures for ATPG.
598-608
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3198
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#IvanovA88
2006-07-25
A Network Comparison Algorithm for Layout Verification of Integrated Circuits.
135-141
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270067&count=7&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#Barke84
2006-05-31
Strongly NP-hard discrete gate-sizing problems.
1045-1051
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.298040
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#Ning94
2006-05-31
Architectural level test generation for microprocessors.
1288-1300
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317464
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LeeP94
2006-05-11
Synthesis of single-output space compactors for scan-based sequential circuits.
1171-1179
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.802275
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#BhattacharyaDGC02
2006-05-24
Spectral-based multiway FPGA partitioning.
554-560
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.506142
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ChanSZ96
2006-05-24
A precorrected-FFT method for electrostatic analysis of complicated 3-D structures.
1059-1072
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662670
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#PhillipsW97
2006-06-20
Optimal granularity of test generation in a distributed system.
885-892
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.57783
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#FujiwaraI90
2006-06-20
MISNAN-a physically based continuous MOSFET model for CAD applications.
1512-1529
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.103501
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#BoothroydTS91
2006-05-11
Interconnect synthesis without wire tapering.
90-104
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.905678
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#AlpertDFQ01
2006-06-19
An efficient eigenvector approach for finding netlist partitions.
885-892
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144852
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#HadleyMV92
2006-07-25
PART: Programmable Array Testing Based on a Partitioning Algorithm.
142-149
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270068&count=7&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#SomenziGMP84
2006-06-01
Redundancy removal for sequential circuits without reset states.
13-24
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184840
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Cheng93
2006-05-26
A method for area estimation of data-path in high level synthesis.
258-265
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.486671
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MechaFTSMO96
2006-07-27
Design of minimum and uniform bipartites for optimum connection blocks of FPGA.
1377-1383
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.663827
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#FujiyoschiKN97
2006-06-27
Analysis of strategies for constructive general block placement.
371-377
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.3170
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#WimerK88
2006-06-26
Highly vectorizable fault simulation on the Cray X-MP supercomputer.
1362-1365
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44516
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#DaoudO89
2006-04-19
A predictive distributed congestion metric with application to technology mapping.
696-710
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://dx.doi.org/10.1109/TCAD.2005.846368
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ShelarSSW05
2006-06-26
A synthesis and optimization procedure for fully and easily testable sequential machines.
1100-1107
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.39071
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#DevadasMNS89
2006-06-26
Two new and 'more difficult' channel routing problems.
448
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29597
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Deutsch89
2006-06-02
The benefits of flexibility in lookup table-based FPGAs.
349-353
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205013
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HillW93
2006-07-25
A Depletion-Mode MOSFET Model for Circuit Simulation.
80-87
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270060&count=14&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#DivekarD84
2006-05-30
A voltage dependent capacitance model including effects of manufacturing process variabilities on voltage coefficients.
1093-1097
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406711
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#Ito95
2006-05-30
Performance-driven channel pin assignment algorithms.
849-857
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.391732
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#HerWW95
2006-06-26
Simulation of spatial fault distributions for integrated circuit yield estimations.
1314-1318
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.44511
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Stapper89
2006-05-24
A parallel standard cell placement algorithm.
1342-1357
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.663824
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#SunS97a
2006-05-30
An efficient multilayer MCM router based on four-via routing.
1277-1290
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466343
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KhooC95
2006-06-20
Retiming and resynthesis: optimizing sequential networks with combinational techniques.
74-84
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.62793
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#MalikSBS91
2006-05-03
Timed compiled-code functional simulation of embedded software for performance analysis of SOC design.
1-14
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.805721
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#LeeP03
2006-06-12
Wolverines: standard cell placement on a network of workstations.
1312-1326
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240079
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#MohanM93
2006-05-24
Interface finite-state machines: definition, minimization, and decomposition.
497-505
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.631212
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#DagaB97
2006-05-22
On wirelength estimations for row-based placement.
1265-1278
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784119
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#CaldwellKMMZ99
2006-05-11
Power distribution analysis of VLSI interconnects using model orderreduction.
739-745
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1004318
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ShinS02
2006-05-23
The path-status graph with application to delay fault simulation.
324-332
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.703822
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#GharaybehBA98
2006-06-19
A performance-driven global router for custom VLSI chip design.
1044-1051
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.149775
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#PrasitjutrakulK92
2006-06-20
Scheduling blocks of hierarchical compiled simulation of combinational circuits.
184-192
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68405
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Maurer91
2006-05-24
Notes on "Complexity of the lookup-table minimization problem for FPGA technology mapping".
1588-1590
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.552093
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ZhangMM96
2006-05-24
Automatic layout recycling based on layout description and linear programming.
959-967
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511575
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ShigehiroNSAT96
2006-06-20
Covering rectilinear polygons by rectangles.
377-388
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.45869
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#WuS90
2006-05-30
Path-delay-fault testable nonscan sequential circuits.
576-582
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384419
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KeM95
2006-06-01
<sub>infinity</sub>-continuous depletion capacitance model.
825-828
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229757
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#McAndrewBW93
2006-05-31
Pattern matching and refinement hybrid approach to circuit comparison.
264-276
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259949
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#PelzR94
2006-04-26
Optimality and scalability study of existing placement algorithms.
537-549
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.825870
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#ChangCRX04
2006-07-12
Three-Dimensional Monte Carlo Simulations--Part II: Recoil Phenomena.
110-117
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270103&count=11&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Mazzone85
2006-07-11
A Heuristic Algorithm for Gate Assignment in One-Dimensional Array Approach.
159-164
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28440&arnumber=1270259&count=15&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#FujiiHKY87
2006-05-30
The complexity of segmented channel routing.
518-523
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372378
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#Li95
2006-05-24
Transforming set data types to power optimal data structures.
619-629
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.503932
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#WuytackCM96
2006-07-11
Optimal Chaining of CMOS Transistors in a Functional Cell.
795-801
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270322&count=19&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#WimerPF87
2006-05-24
Verification of Tempura specification of sequential circuits.
362-375
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.602473
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#HiraS97
2006-04-19
Built-in sequential fault self-testing of array multipliers.
449-460
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2004.842806
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PsarakisGP05
2006-07-12
Time- and Frequency-Domain Analysis of Linear Switched-Capacitor Networks Using State Charge Variables.
651-661
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28434&arnumber=1270165&count=37&index=32
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#FangTW85
2006-05-22
Hardware/software co-synthesis with memory hierarchies.
1405-1417
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790618
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#LiW99
2006-05-22
Evaluation and optimization of replication algorithms for logic bipartitioning.
1237-1248
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.784117
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#EnosHS99
2006-05-24
Pin assignment with global routing for VLSI building block layout.
1575-1583
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.552091
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#KoideWY96
2006-07-11
An Integrated Logic Design Environment Based on Behavioral Description.
322-336
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270277&count=21&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#Nakamura87
2006-06-27
Mixed-mode PISCES-SPICE coupled circuit and device solver.
862-867
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.3217
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#RollinsC88
2006-05-11
Compact and efficient code generation through program restructuringon limited memory embedded DSPs.
477-494
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.918207
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ReleJPR01
2006-06-01
YOR: a yield-optimizing routing algorithm by minimizing critical areas and vias.
1303-1311
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240078
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Kuo93
2006-07-12
Relaxing Bounds for Linear RC Mesh Circuits.
305-312
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28436&arnumber=1270200&count=12&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Zukowski86
2006-05-11
Analytical charge-control and I-V model for submicrometer anddeep-submicrometer MOSFETs fully comprising quantum mechanical effects.
495-502
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.918208
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#MaLTYL01
2006-05-31
Satisfaction of input and output encoding constraints.
589-602
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277632
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#SaldanhaVBS94
2006-05-31
Iterative placement improvement by network flow methods.
1189-1200
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317462
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#DollJA94
2006-05-11
Sibling-substitution-based BDD minimization using don't cares.
44-55
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822619
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#HongBBM00
2006-05-03
Theorems for identifying undetectable faults in partial-scan circuits.
1092-1097
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814957
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PomeranzR03a
2006-05-11
RC delay metrics for performance optimization.
571-582
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920682
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#AlpertDK01
2006-05-22
Three-dimensional simulation of HPCVD-linking continuum transport and reaction kinetics with topography simulation.
1741-1749
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811323
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PykaFHS99
2006-06-20
Technology CAD for competitive products.
1209-1216
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62758
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#LloydDPS90
2006-05-22
GLFSR-a new test pattern generator for built-in-self-test.
238-247
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.743744
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PradhanC99
2006-06-19
Fast simulated diffusion: an optimization algorithm for multiminimum problems and its application to MOSFET model parameter extraction.
228-234
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.124401
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#SakuraiLN92
2006-05-30
Modeling of VLSI RC parasitics based on the network reduction algorithm.
137-144
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.370431
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#NiewczasW95
2006-06-19
A compiled-code hardware accelerator for circuit simulation.
555-565
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127617
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Lewis92
2006-05-03
Timing-driven routing for FPGAs based on Lagrangian relaxation.
506-510
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809645
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#LeeW03
2006-04-19
A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems.
111-125
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.852657
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#ZhangC06
2006-05-03
Optimal joint module-selection and retiming with carry-save representation.
836-846
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814251
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#YuKW03
2006-05-24
Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits.
1237-1248
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.541443
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#SapatnekarD96
2006-06-27
Simulating and testing oversampled analog-to-digital converters.
668-674
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.3206
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#BoserKMW88
2006-05-31
Adaptively controlled explicit simulation.
746-762
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285249
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#DevganR94
2006-10-06
Optimizing dominant time constant in RC circuits.
110-125
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.681261
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#VandenbergheBG98
2006-05-11
Transition time modeling in deep submicron CMOS.
1352-1363
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804088
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#MaurineRAA02
2006-05-11
Crosstalk alleviation for dynamic PLAs.
1416-1424
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804384
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#TienCT02
2006-05-11
Pattern routing: use and theory for increasing predictability andavoiding coupling.
777-790
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.1013891
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KastnerBS02
2006-05-24
GATTO: a genetic algorithm for automatic test pattern generation for large synchronous sequential circuits.
991-1000
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511578
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#CornoPRR96
2006-05-30
A complexity analysis of sequential ATPG.
1409-1423
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543773
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MarchokEMR96
2006-07-26
Efficient Algorithms for Channel Routing.
25-35
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28419&arnumber=1269993&count=4&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#YoshimuraK82
2006-07-11
Compaction-Based Custom LSI Layout Design Method.
374-382
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28441&arnumber=1270282&count=21&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#IshikawaMYG87
2006-07-11
Algorithms for an Advanced Fault Simulation System in MOTIS.
232-240
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28440&arnumber=1270267&count=15&index=8
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#LoNB87
2006-05-23
Guest Editorial.
1-2
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.1998.673627
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#KahngS98
2006-05-11
Efficient synthesis of OTA network for linear analog functions.
517-533
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.998624
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#RayCN02
2006-05-30
NEST: a nonenumerative test generation method for path delay faults in combinational circuits.
1505-1515
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.476581
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#PomeranzRU95
2006-05-24
EARTH: combined state assignment of PLA-based FSM's targeting area and testability.
727-731
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503941
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#MohanC96
2006-07-11
HSS--A High-Speed Simulator.
601-617
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28442&arnumber=1270308&count=17&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#BarzilaiCRR87
2006-05-31
Minimizing the number of delay buffers in the synchronization of pipelined systems.
1441-1449
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.331401
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#HuBH94
2006-07-12
A Multivalued Algebra For Modeling Physical Failures in MOS VLSI Circuits.
312-321
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270127&count=20&index=15
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#BanerjeeA85
2006-05-22
Critical area computation via Voronoi diagrams.
463-474
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.752929
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#PapadopoulouL99
2006-06-20
Computing optimal test sequences from complete test sets for stuck-open faults in CMOS circuits.
329-331
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46808
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ChakravartyR90
2006-06-01
Zone scheduling.
926-934
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.238029
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HwangH93
2006-06-01
Optimal part selection.
1611-1617
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256941
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#HaworthBH93
2006-05-22
Techniques for minimizing and balancing I/O during functional partitioning.
69-75
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.739060
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#Vahid99
2006-05-11
Static power modeling of 32-bit microprocessors.
1306-1316
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804104
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#BrandoleseSFS02
2006-05-26
Arithmetic built-in self-test for DSP cores.
1358-1369
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.663825
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#RadeckaRT97
2006-04-19
Longest-path selection for delay test under process variation.
1924-1929
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://dx.doi.org/10.1109/TCAD.2005.852674
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LuLQWS05
2006-05-11
Fast and efficient parametric modeling of contact-to-substratecoupling.
1282-1292
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892852
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#MasoumiES00
2006-05-11
A data-centric approach to high-level synthesis.
1251-1267
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.892850
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#TarafdarL00
2006-06-26
A new discretization scheme for the semiconductor current continuity equations.
479-489
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24876
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#BurglerBFS89
2006-06-20
Design of robustly testable combinational logic circuits.
1036-1048
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85740
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#KunduRJ91
2006-06-01
An efficient algorithm for VLSI network partitioning problem using a cost function with balancing factor.
1686-1694
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248079
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ParkP93
2006-05-11
Shared buffer implementations of signal processing systems usinglifetime analysis techniques.
177-198
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908427
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#MurthyB01
2006-05-11
Interval diagrams for efficient symbolic verification of processnetworks.
939-956
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.856979
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#StrehlT00
2006-05-30
Levelized incomplete LU factorization and its application to large-scale circuit simulation.
720-727
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.387732
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#EickhoffE95
2006-05-23
Rebel: a clustering algorithm for look-up table FPGA's.
444-451
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.703938
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#Beetem98
2006-05-30
Test application time reduction for sequential circuits with scan.
1128-1140
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.406714
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#LeeS95
2006-05-23
High-precision interconnect analysis.
1148-1159
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.736187
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#MartinsPSS98
2006-07-11
Fast Methods for Switch-Level Verification of MOS Circuits.
766-779
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270320&count=19&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#ReevesI87
2006-06-19
New spectral methods for ratio cut partitioning and clustering.
1074-1085
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159993
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#HagenK92
2006-04-26
Design of CMOS MEMS based on mechanical resonators using a RF simulation approach.
962-967
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.828113
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#LatorreBN04
2006-07-26
A Description of MOS Internodal Capacitances for Transient Simulations.
150-156
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28422&arnumber=1270005&count=9&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#TaylorFS82
2006-05-23
A graph representation for programmable logic arrays to facilitate testing and logic design.
1030-1043
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728922
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#TangLL98
2006-05-24
Logic synthesis of multilevel circuits with concurrent error detection.
783-789
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644041
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#ToubaM97
2006-05-30
Analog checkers with absolute and relative tolerances.
607-612
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.384424
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#KolarikMLC95
2006-06-20
Adaptive meshing schemes for simulating dopant diffusion.
276-289
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46803
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#IsmailA90
2006-05-11
VERILAT: verification using logic augmentation and transformations.
1041-1051
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.863644
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#PaulCP00
2006-05-24
Modeling and simulation of broken connections in CMOS IC's.
808-814
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503947
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#FavalliDO96
2006-06-26
Threshold voltage for GaAs MESFET with a recoil-implanted channel profile.
817-820
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.31540
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Kwok89
2006-06-19
The effect of placement of automatically extracted structure.
1140-1152
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.160000
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#HirschS92
2006-06-26
An algorithm for multiple output minimization.
1007-1013
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35553
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#GurunathB89
2006-06-27
Nonstationary carrier dynamics in quarter-micron Si MOSFETs.
254-258
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.3156
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#TomizawaYY88
2006-05-23
Characterization and parameterized generation of synthetic combinational benchmark circuits.
985-996
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728919
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#HuttonRGC98
2006-04-26
An efficient technique for exploring register file size in ASIP design.
1693-1699
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.837717
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#JainBK04
2006-05-31
Exact and heuristic algorithms for the minimization of incompletely specified state machines.
167-177
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.259940
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#RhoHSJ94
2006-06-19
Probabilistic analysis and algorithms for reconfiguration of memory arrays.
1153-1160
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.160001
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ShiF92
2006-06-27
On the simplification of a placement problem.
805-812
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.3951
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#HojatK88
2006-07-25
A Computational Approach for the Diagnosability of Dynamical Circuits.
165-171
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270071&count=13&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#VisvanathanS84
2006-07-25
The Variable Geometry Automated Universal Array Layout System (VGAUA).
20-26
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270053&count=14&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#SmithNBSW84
2006-06-20
A STRIDE towards practical 3-D device simulation-numerical and visualization considerations.
1132-1140
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.85759
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#WuCD91
2006-07-26
Network Analysis Approach to Multidimensional Modeling of Transistors Including Thermal Effects.
94-101
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28420&arnumber=1269999&count=5&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#LatifB82
2006-07-11
Exact and Approximate Solutions for the Gate Matrix Layout Problem.
79-84
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270248&count=17&index=8
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#DeoKL87
2006-04-26
Diagnosing arbitrary defects in logic designs using single location at a time (SLAT).
91-101
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816206
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#Huisman04
2006-06-27
A heuristic standard cell placement algorithm using constrained multistage graph model.
1205-1214
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.9190
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#ChoK88
2006-06-19
Selection of lumped element models for coupled lossy transmission lines.
805-815
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144845
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#DhaeneZ92
2006-05-22
Substrate optimization based on semi-analytical techniques.
172-190
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.743727
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#CharbonGMS99
2006-05-03
Local watermarks: methodology and application to behavioral synthesis.
1277-1283
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816208
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#KirovskiP03
2006-05-24
Transmission line synthesis via constrained multivariable optimization.
6-19
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.559328
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#GuptaKP97
2006-05-11
Model checking on timed-event structures.
601-611
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.845084
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#DasguptaDC00
2006-05-23
Application of genetically engineered finite-state-machine sequences to sequential circuit ATPG.
239-254
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.700722
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#HsiaoRP98
2006-07-12
Derivation and Refinement of Fan-Out Constraints to Generate Tests in Combinational Logic Circuits.
564-572
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270227&count=22&index=11
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#HwangM86
2006-04-19
PipeRoute: a pipelining-aware router for reconfigurable architectures.
518-532
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.853691
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#SharmaEH06
2006-05-30
Quadratic zero-one programming-based synthesis of application-specific data paths.
1-11
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.363127
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#GeurtsCM95
2006-06-20
A charge sheet capacitance model of short channel MOSFETs for SPICE.
376-389
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67791
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#ParkKH91
2006-06-19
An incremental zero/integer delay switch-level simulation environment.
1131-1139
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.159999
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Jones92
2006-04-26
SiSMA-a tool for efficient analysis of analog CMOS integrated circuits affected by device mismatch.
192-207
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.822131
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#BiagettiOTCA04
2006-04-26
Generation of test patterns without prohibited pattern set.
1650-1660
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.837730
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#SikdarGC04
2006-05-11
<sub>DDQ</sub>tests forleakage faults.
568-576
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.845081
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ChakravartyZ00
2006-07-25
A CAD-Oriented Analytical MOSFET Model for High-Accuracy Applications.
117-122
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270064&count=7&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#TurchettiM84
2006-05-22
Equivalent circuit model of resistive IC sensors derived with the box integration method.
1000-1013
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771181
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MaierETBK99
2006-05-03
Test data compression based on input-output dependence.
1450-1455
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818122
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#PomeranzR03b
2006-07-26
Hot carrier transport effect in Schottky-barrier diode grown by MBE.
578-583
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.3195
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#HwangD88
2006-04-19
Pipeline optimization for asynchronous circuits: complexity analysis and an efficient optimal algorithm.
389-402
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.853689
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#KimB06
2006-06-20
Application of scan hardware and software for debug and diagnostics in a workstation environment.
612-620
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.55191
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Dervisoglu90
2006-05-24
Planar-DME: a single-layer zero-skew clock tree router.
8-19
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.486268
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#KahngT96
2006-07-11
A New Approach to Hierarchical and Statistical Timing Simulations.
1039-1052
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270345&count=16&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#BenkoskiS87
2006-06-27
Design of MOS networks in single-rail input logic for incompletely specified functions.
339-345
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.3167
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#LaiM88
2006-07-24
DIALOG: An Expert Debugging System for MOSVLSI Design.
303-311
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270126&count=20&index=14
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#ManBMC85
2006-05-03
On-chip interconnect modeling by wire duplication.
1521-1532
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.818303
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ZhongKR03
2006-05-11
Closing the gap between analog and digital testing.
307-314
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908473
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#SaabHK01
2006-07-26
Some Modifications to Newton's Method for the Determination of the Steady-State Response of Nonlinear Oscillatory Circuits.
116-120
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28421&arnumber=1270002&count=5&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#GroszT82
2006-05-24
On-line detection of bridging and delay faults in functional blocks of CMOS self-checking circuits.
770-776
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.644039
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#MetraFOR97
2006-06-12
Filling algorithms and analyses for layout density control.
445-462
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.752928
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#KahngRSZ99
2006-06-01
Scaling considerations of the constitutive equations in a 2-D finite element heterojunction simulator PRISM.
1786-1797
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248090
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#VankemmelSCM93
2006-05-23
Constraint analysis for DSP code generation.
44-57
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.739058
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MesmanTMJ99
2006-05-22
SEGRA: a very fast general area router for multichip modules.
659-665
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.759082
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ChaRN99
2006-05-31
Eliminating redundant DC equations for asymptotic waveform evaluation.
396-397
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265681
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#KaoH94
2006-05-23
A framework for comparing models of computation.
1217-1229
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736561
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#LeeS98
2006-06-27
Testing for multiple faults in domino-CMOS logic circuits.
109-116
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3138
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Jha88
2006-05-31
A weighted Steiner tree-based global router with simultaneous length and density minimization.
1461-1469
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.331403
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ChiangWS94
2006-07-26
A Logic Simulation Machine.
82-94
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28424&arnumber=1270024&count=9&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#AbramoviciLM83
2006-06-20
A macromodeling algorithm for analog circuits.
150-160
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.68402
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#CasinoviS91
2006-05-03
A fanout optimization algorithm based on the effort delay model.
1671-1678
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819423
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#RezvaniP03
2006-06-27
Data structuring for process and device simulations.
489-500
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.3184
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#CorbexGMP88
2006-04-26
Architecture and synthesis for on-chip multicycle communication.
550-564
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.825872
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#CongFHYZ04
2006-06-26
Intrinsic GaAs MESFET equivalent circuit models generated from two-dimensional simulations.
395-402
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29593
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Curtice89
2006-05-24
Combinational test generation using satisfiability.
1167-1176
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536723
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#StephanBS96
2006-07-12
SIMPAR: A Versatile Technology Independent Parameter Extraction Program Using a New Optimized Fit-Strategy.
320-325
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28436&arnumber=1270202&count=12&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#MaesMD86
2006-05-24
A new approach for modeling the MOSFET using a simple, continuous analytical expression for drain conductance which includes velocity-saturation in a fundamental way.
475-483
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.506135
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BandyW96
2006-04-19
Evaluating the reliability of NAND multiplexing with PRISM.
1629-1637
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://dx.doi.org/10.1109/TCAD.2005.852033
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#NormanPKS05
2006-04-26
Synthesis of fully testable circuits from BDDs.
440-443
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.823342
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#DrechslerSF04
2006-05-22
Average-case technology mapping of asynchronous burst-mode circuits.
1418-1434
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.790619
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ChouBY99
2006-07-12
Monte Carlo simulation of silicon amorphization during ion implantation.
1236-1243
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736563
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#BohmayrBLRS98
2006-07-12
A Statistical Design Rule Developer.
508-520
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270222&count=22&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#RazdanS86
2006-05-22
Worst case tolerance analysis and CLP-based multifrequency test generation for analog circuits.
332-345
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.748163
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#AbderrahmanCK99
2006-05-31
Layout to circuit extraction for three-dimensional thermal-electrical circuit simulation of device structures.
765-774
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.503944
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#KrabbenborgBGM96
2006-06-19
Analytic transient solution of general MOS circuit primitives.
719-731
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.137517
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#ShihK92
2006-05-11
A BIST scheme for RTL circuits based on symbolic testabilityanalysis.
111-128
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.822624
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#GhoshJB00
2006-05-11
An efficient graph representation for arithmetic circuitverification.
1443-1454
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969437
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#ChenB01
2006-05-11
Domino logic synthesis based on implication graph.
232-240
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980261
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KimKLK02
2006-05-31
Time-domain macromodels for VLSI interconnect analysis.
1257-1270
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317469
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#KimGP94
2006-05-22
Wire-sizing optimization with inductance consideration using transmission-line model.
1759-1767
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811325
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#GaoW99a
2006-05-23
Direct mapping of RTL structures onto LUT-based FPGA's.
624-631
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.709401
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#NaseerBK98
2006-05-11
Min-cut partitioning with functional replication fortechnology-mapped circuits using minimum area overhead.
491-497
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.992773
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#Mak02
2006-06-01
Capacitance of top leads metal - comparison between formula, simulation, and experiment.
1897-1902
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.251153
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#WrightS93
2006-04-19
Throughput-driven floorplanning with wire pipelining.
663-675
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://dx.doi.org/10.1109/TCAD.2005.846371
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#CasuM05
2006-06-01
Compact modeling of BJT self-heating in SPICE.
1368-1375
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.240084
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#ZweidingerLF93
2006-07-12
CHAMP: Chip Floor Plan for Hierarchical VLSI Layout Design.
12-22
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270094&count=11&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#UedaKH85
2006-06-20
Switch-level simulation using dynamic graph algorithms.
346-355
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67788
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#Adler91
2006-05-11
Static scheduling of multidomain circuits for fast functional verification.
1253-1268
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804086
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#KudlugiT02
2006-06-26
REDUSA: module generation by automatic elimination of superfluous blocks in regular structures.
989-998
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35551
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#VandeweerdCRSM89
2006-06-01
Automated design management using traces.
1077-1095
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.238602
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#CasottoS93
2006-05-03
Estimation of power dissipation in switched-capacitor circuits.
1625-1636
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819384
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#CasinoviY03
2006-05-24
Exploiting communication complexity for Boolean matching.
1249-1256
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.541444
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#WangHC96
2006-04-19
EBIST: a novel test generator with built-in fault detection capability.
1457-1466
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://dx.doi.org/10.1109/TCAD.2005.850815
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PradhanL05
2006-05-31
Cellular automata based deterministic self-test strategies for programmable data paths.
940-949
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293951
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#SasCM94
2006-05-24
High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models.
1106-1118
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536716
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ZhuD96
2006-04-26
Skew measurements in clock distribution circuits using an analytic signal method.
997-1009
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.829814
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#YamaguchiSNHRI04
2006-05-24
Efficient approximation of symbolic network functions using matroid intersection algorithms.
1073-1081
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662671
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#YuS97
2006-06-26
PEPPER-a process simulator for VLSI.
336-349
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29588
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#MulvaneyRC89
2006-06-26
Design of multioutput CMOS combinational logic circuits for robust testability.
1222-1226
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41507
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Kundu89
2006-07-25
Chip Substrate Resistance Modeling Technique for Integrated Circuit Design.
126-134
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270066&count=7&index=2
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#JohnsonKMW84
2006-04-19
Active leakage power optimization for FPGAs.
423-437
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.853692
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#AndersonN06
2006-06-20
SITAR-an efficient 3-D simulator for optimization of nonplanar trench structures.
1184-1188
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62755
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#BergnerK90
2006-07-25
Single-Row Routing in Narrow Streets.
235-241
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28429&arnumber=1270080&count=13&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#HanS84
2006-06-20
The complexity of fault detection in MOS VLSI circuits.
995-1001
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.59075
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#NajmH90
2006-06-19
A parallel algorithm for channel routing problems [VLSI].
464-474
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.125094
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#FunabikiT92
2006-04-26
SPFD-based wire removal in standard-cell and network-of-PLA circuits.
1020-1030
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.829821
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#KhatriSBS04
2006-06-20
On the k-layer planar subset and topological via minimization problems.
972-981
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85735
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#CongL91
2006-06-01
PYFS-a statistical optimization method for integrated circuit yield enhancement.
296-309
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.205009
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PanH93
2006-08-21
A Hardware Architecture for Switch-Level Simulation.
239-250
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270120&count=20&index=8
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#DallyB85
2006-07-26
Routing Techniques for Gate Array.
301-312
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28426&arnumber=1270048&count=12&index=10
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#TingT83
2006-06-01
A complete substrate current model including band-to-band tunneling current for circuit simulation.
1749-1757
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248086
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#TanizawaIKTH93
2006-05-24
Analytical device modeling for MOS analog IC's based on regularization and Bayesian estimation.
1309-1323
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543764
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#ContiOTSZ96
2006-05-24
The complexity of generalized retiming problems.
1340-1353
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543767
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#FluiterAKVW96
2006-05-11
On extending slicing floorplan to handle L/T-shaped modules andabutment constraints.
800-807
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.924833
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#YoungWY01
2006-05-31
A performance and routability-driven router for FPGAs considering path delays.
179-185
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.573832
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#LeeW97
2006-06-20
An efficient small signal frequency analysis method of nonlinear circuits with two frequency excitations.
225-235
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46798
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#OkumuraST90
2006-05-22
Modeling and formal verification of the Fairisle ATM switch fabricusing MDGs.
956-972
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.771178
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#TaharSCZLM99
2006-06-27
Analysis and synthesis of combinational pass transistor circuits.
775-786
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.3948
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#PedronS88
2006-05-11
Application of BEM to high-voltage junction termination.
1218-1225
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.952738
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#WuGLHC01
2006-05-31
A CAD procedure for optimizing bipolar devices relative to BiCMOS circuit delays.
471-481
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.275357
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#DesoukiPM94
2006-05-03
Maze routing with buffer insertion under transition time constraints.
91-95
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.805725
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#HuangLWG03
2006-04-19
Modeling and Simulation of Electrified Droplets and Its Application to Computer-Aided Design of Digital Microfluidics.
224-233
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://dx.doi.org/10.1109/TCAD.2005.857387
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#Zeng06
2006-06-19
Simulation of charge transfer in GaAs Cermet-Gate CCDs.
903-910
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144854
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#PennathurK92
2006-07-26
Efficient Single-Layer Routing Along a Line of Points.
259-266
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28426&arnumber=1270043&count=12&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#CarterB83
2006-05-11
Fast and accurate timing characterization using functionalinformation.
315-331
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908474
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#YalcinMPBSH01
2006-05-31
Complexity of the lookup-table minimization problem for FPGA technology mapping.
1319-1332
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329262
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#FarrahiS94
2006-07-12
The S-Algorithm: A Promising Solution for Systematic Functional Test Generation.
250-263
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270121&count=20&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#LinS85
2006-05-11
Time-domain thermal noise simulation of switched capacitor circuitsand delta-sigma modulators.
473-481
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.838996
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#DongO00
2006-06-26
Circular self-test path: a low-cost BIST technique for VLSI circuits.
46-55
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.21818
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#KrasniewskiP89
2006-05-11
Anaconda: simulation-based synthesis of analog circuits viastochastic pattern search.
703-717
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.848091
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#PhelpsKRCH00
2006-07-12
Computer-Aided Minimization Procedure for Boolean Functions.
303-304
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28436&arnumber=1270199&count=12&index=6
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#Biswas86
2006-06-19
Silicon compilation of very high level language.
1227-1246
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.170987
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Kahrs92
2006-05-11
Synthesis of symmetric functions for path-delay fault testability.
1076-1081
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.863647
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#ChakrabartiDDB00
2006-04-19
A study of a hybrid phase-pole macromodel for transient simulation of complex interconnects structures.
1250-1261
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850817
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#ZhongHFDP05
2006-05-23
A novel macromodel for power estimation in CMOS structures.
1090-1098
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.736183
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#TurgisA98
2006-06-19
Verified functions for generating signed-binary arithmetic hardware.
1529-1558
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.180266
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Chin92
2006-07-12
PLATYPUS: A PLA Test Pattern Generation Tool.
633-644
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270233&count=22&index=17
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#WeiS86
2006-05-24
An efficient CMOS bridging fault simulator: with SPICE accuracy.
1071-1080
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.536713
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#DiJ96
2006-06-01
VLSI logic and fault simulation on general-purpose parallel computers.
446-460
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.215006
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Mueller-ThunsSDA93
2006-07-13
Computer Generation of Digital Filter Banks.
256-265
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28436&arnumber=1270194&count=12&index=1
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#RuetzPB86
2006-06-01
A neural network approach to topological via-minimization problems.
770-779
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229751
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#FunabikiT93
2006-06-19
Optimized synthesis techniques for testable sequential circuits.
301-312
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.124417
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#EschermannW92
2006-04-19
Optimal placement of power-supply pads and pins.
144-154
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2005.852459
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#ZhaoFZSP06
2006-04-19
Power modeling and characteristics of field programmable gate arrays.
1712-1724
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://dx.doi.org/10.1109/TCAD.2005.852293
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LiLHCC05
2006-04-26
Efficient Steiner tree construction based on spanning graphs.
704-710
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826557
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#Zhou04
2006-05-03
Design hierarchy-guided multilevel circuit partitioning.
420-427
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.809659
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#CheonW03
2006-05-23
Model-adaptable MOSFET parameter-extraction method using an intermediate model.
400-405
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.703924
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#KondoOT98
2006-05-11
Charge-based analytical model for the evaluation of powerconsumption in submicron CMOS buffers.
433-448
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.992767
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#RosselloS02
2006-05-11
Stochastic modeling of a power-managed system-construction andoptimization.
1200-1217
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.952737
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#QiuQP01
2006-05-31
An efficient analytical model for calculating trapped charge in amorphous silicon.
725-728
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.285246
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#TsaiHY94
2006-05-11
System-level performance analysis for designing on-chipcommunication architectures.
768-783
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.924830
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#LahiriRD01
2006-05-31
Reducing correlation to improve coverage of delay faults in scan-path design.
638-646
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277638
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#MaoC94
2006-05-11
Vector replacement to improve static-test compaction forsynchronous sequential circuits.
336-342
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.908476
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#PomeranzR01
2006-07-26
The Waveform Relaxation Method for Time-Domain Analysis of Large Scale Integrated Circuits.
131-145
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28421&arnumber=1270004&count=5&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#LelarasmeeRS82
2006-05-11
High-level energy macromodeling of embedded software.
1037-1050
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.801094
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#TanRLJ02
2006-05-11
Phase noise on a 2-GHz CMOS LC oscillator.
773-778
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.851992
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#XieF00
2006-06-01
COMPACTEST: a method to generate compact test sets for combinational circuits.
1040-1049
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.238040
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PomeranzRR93
2006-05-11
An analysis of the wire-load model uncertainty problem.
23-31
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.974134
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#GopalakrishnanOPR02
2006-05-31
An efficient parallel critical path algorithm.
909-919
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.293948
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LiuDC94
2006-05-11
Symbolic modeling of periodically time-varying systems usingharmonic transfer matrices.
1011-1024
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.801098
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#VanasscheGS02
2006-05-22
Register transfer level power optimization with emphasis on glitch analysis and reduction.
1114-1131
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.775632
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#RaghunathanDJ99
2006-06-19
A detailed router for field-programmable gate arrays.
620-628
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.127623
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#BrownRV92
2006-07-25
Three-Dimensional Monte Carlo Simulations--Part I: Implanted Profiles for Dopants in Submicron Device.
64-71
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28427&arnumber=1270058&count=14&index=8
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#MazzoneR84
2006-07-26
Single-Layer Routing for VLSI: Analysis and Algorithms.
246-259
1983
2
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28426&arnumber=1270042&count=12&index=4
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad2.html#Marek-SadowskaT83
2006-04-19
Modular SOC testing with reduced wrapper count.
1894-1908
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://dx.doi.org/10.1109/TCAD.2005.852447
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#XuN05
2006-07-12
SPICE Simulation of SOI MOSFET Integrated Circuits.
653-658
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28438&arnumber=1270235&count=22&index=19
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#VeeraraghavanFE86
2006-05-24
A wire length estimation technique utilizing neighborhood density equations.
912-922
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.511571
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#HamadaCC96
2006-05-24
A high-speed 2-D topography simulator based on a pixel model.
386-397
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.602475
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#TazawaOMN97
2006-06-20
2-D simulation of degenerate hot electron transport in MODFETs including DX center trapping.
1150-1163
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62752
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#ShawkiSE90
2006-05-24
Two novel multiway circuit partitioning algorithms using relaxed locking.
169-178
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.573831
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#DasdanA97
2006-05-24
Sympathy: fast exact minimization of fixed polarity Reed-Muller expressions for symmetric functions.
1-5
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.559327
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#DrechslerB97
2006-05-11
Handling soft modules in general nonslicing floorplan usingLagrangian relaxation.
687-692
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.920707
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#YoungCLW01
2006-04-19
Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects.
849-861
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://dx.doi.org/10.1109/TCAD.2005.847944
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#AjamiBP05
2006-05-23
COHRA: hardware-software cosynthesis of hierarchical heterogeneous distributed embedded systems.
900-919
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.728913
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#DaveJ98
2006-06-26
A process and device model for GaAs MESFET technology: GATES.
350-359
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29589
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#AnholtS89
2006-06-01
Optimal folding of bit sliced stacks.
1679-1685
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.248078
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#PaikS93
2006-06-26
Decomposition and factorization of sequential finite state machines.
1206-1217
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.41505
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#DevadasN89a
2006-05-11
Sequential synthesis using S1S.
1149-1162
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.875301
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#AzizBBS00
2006-06-26
A directed search method for test generation using a concurrent simulator.
131-138
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.21831
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#AgrawalCA89
2006-05-11
Crosstalk noise minimization in domino logic design.
1091-1100
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.945305
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#KimK01
2006-05-11
EDA challenges facing future microprocessor design.
1498-1506
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.898828
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#KarnRKRSSP00
2006-06-20
An efficient verifier for finite state machines.
326-334
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.67786
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#HwangN91
2006-04-26
Effective iterative techniques for fingerprinting design IP.
208-215
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.822126
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#CaldwellCKMPQW04
2006-05-31
Modeling the "Effective capacitance" for the RC interconnect of CMOS gates.
1526-1535
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.331409
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#QianPP94
2006-06-19
A framework and method for hierarchical test generation.
45-67
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.108618
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#CalhounB92
2006-09-29
A physical parametric transistor model for CMOS circuit simulation.
1038-1052
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.7804
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#YuFM88
2006-05-11
Techniques for the creation of digital watermarks in sequentialcircuit designs.
1101-1117
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.945306
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#Oliveira01
2006-06-27
The generation of a mesh for resistance calculation in integrated circuits.
1029-1037
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.7803
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#KempPS88
2006-05-09
Multilevel circuit clustering for delay minimization.
1073-1085
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.829817
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#SzeWW04
2006-05-31
A complement-based fast algorithm to generate universal test sets for multi-output functions.
370-377
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265678
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#ChenL94
2006-07-11
Multiple-Valued Minimization for PLA Optimization.
727-750
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270318&count=19&index=3
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#RudellS87
2006-04-19
Modeling delay and noise in arbitrarily coupled RC trees.
1725-1739
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://dx.doi.org/10.1109/TCAD.2005.852279
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#PamunuwaET05
2006-05-24
Optimized terminal current calculation for Monte Carlo device simulation.
1082-1087
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662672
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#YoderGKF97
2006-05-31
Hydrodynamic simulation of semiconductor devices operating at low temperature.
1400-1408
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.329268
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LeoneGB94
2006-07-11
A Predictor/CAD Model for Buried-Channel MOS Transistors.
4-16
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28439&arnumber=1270240&count=17&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#WengYC87
2006-07-25
Quantitative Evaluation of Self-Checking Circuits.
150-155
1984
3
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28428&arnumber=1270069&count=7&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad3.html#LuM84
2006-05-31
On achieving complete fault coverage for sequential machines.
378-386
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.265679
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#PomeranzR94b
2006-04-19
A study of netlist structure and placement efficiency.
762-772
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://dx.doi.org/10.1109/TCAD.2005.846364
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LiuM05
2006-04-19
Engineering change protocols for behavioral and system synthesis.
1145-1155
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://dx.doi.org/10.1109/TCAD.2005.850898
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#KirovskiDP05
2006-05-24
A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations.
1188-1195
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.662680
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#FangW97
2006-06-27
A heuristic algorithm for ordering the columns in one-dimensional logica arrays.
547-562
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24883
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#HongPK89
2006-05-31
Circuit-level electrothermal simulation of electrical overstress failures in advanced MOS I/O protection devices.
482-493
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.275358
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#DiazKD94
2006-05-23
POSET timing and its application to the synthesis and verification of gate-level timed circuits.
769-786
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.766727
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#MyersRM99
2006-07-12
Vectorized LU Decomposition Algorithms for Large-Scale Circuit Simulation.
232-239
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28433&arnumber=1270119&count=20&index=7
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#YamamotoT85
2006-05-11
A graph theoretic approach for synthesizing very low-complexityhigh-speed digital filters.
204-216
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980259
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#MuhammadR02
2006-04-19
Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique.
413-422
2006
25
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://dx.doi.org/10.1109/TCAD.2005.853694
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad25.html#WuK06
2006-05-30
<sub>infinity</sub>-continuous small-signal model for a MOS transistor in normal operation.
163-166
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.370428
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#IniguezM95
2006-05-11
Optimal phase conflict removal for layout of dark field alternatingphase shifting masks.
175-187
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.828546
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BermanKVWZ00
2006-05-11
Negative thinking in branch-and-bound: the case of unate covering.
281-294
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.833198
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#GoldbergCVBS00
2006-06-01
Clock suppression techniques for synchronous circuits.
1547-1556
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256930
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#RazdanBU93
2006-07-12
A Database-Driven VLSI Design System.
180-187
1986
5
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28435&arnumber=1270185&count=21&index=13
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad5.html#ChuFHL86
2006-05-11
Design rewiring using ATPG.
1469-1479
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.804388
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#VenerisA02
2006-06-19
On the assumptions contained in semiconductor yield models.
966-975
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.149768
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#Ferris-Prabhu92
2006-05-30
Test set compaction for combinational circuits.
1370-1378
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.469663
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ChangL95
2006-06-01
Variable ordering algorithms for ordered binary decision diagrams and their evaluation.
6-12
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184839
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#FujitaFM93
2006-06-20
Simulation of a MOS transistor with spatially nonuniform channel parameters.
1354-1357
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.62780
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#BoothW90
2006-05-30
Non-tree routing [VLSI layout].
780-784
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.387740
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#McCoyR95
2006-07-11
VLSI Layout Compaction with Grid and Mixed Constraints.
903-910
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28443&arnumber=1270333&count=19&index=18
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#LeeT87
2006-05-24
On particle-mesh coupling in Monte Carlo semiconductor device simulation.
1266-1277
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.541446
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#Laux96
2006-05-30
Modeling of magnetic field sensitivity of bipolar magnetotransistors using HSPICE.
464-469
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.372373
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#SalimMN95
2006-05-23
Efficient state classification of finite-state Markov chains.
1334-1339
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.736573
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#XieB98
2006-05-23
Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization.
173-182
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.681267
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#SathyamurthySF98
2006-06-20
On the diagnostic properties of linear feedback shift registers.
1316-1322
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.88927
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#RajskiT91
2006-05-24
Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator.
1424-1434
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.543774
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#BerkelaarBJ96
2006-05-11
Fundamental CAD algorithms.
1449-1475
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.898826
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#BreuerSS00
2006-05-30
Probabilistic manipulation of Boolean functions using free Boolean diagrams.
87-95
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.363122
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ShenDG95
2006-06-20
Optimization of high-speed CMOS logic circuits with analytical models for signal delay, chip area, and dynamic power dissipation.
236-247
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.46799
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#HoppeNSS90
2006-06-26
A lower bound on channel density after global routing.
574-577
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.24886
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Mowchenko89
2006-05-23
A design-for-testability technique for register-transfer level circuits using control/data flow extraction.
706-723
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.712102
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#GhoshRJ98
2006-06-20
Fourier method modeling of semiconductor devices.
1225-1237
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62760
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#Axelrad90
2006-06-01
Computing the initial states of retimed circuits.
157-162
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.184852
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#TouatiB93
2006-05-31
A multi-probe approach for MCM substrate testing.
110-121
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.273744
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#YaoCCH94
2006-05-30
A submicron DC MOSFET model for simulation of analog circuits.
1193-1207
1995
14
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.466336
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad14.html#ChatterjeeMY95
2006-06-19
A utility-based integrated system for process simulation.
911-920
1992
11
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/43.144855
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad11.html#SchecklerWWCCND92
2006-06-20
A formal approach to the scheduling problem in high level synthesis.
464-475
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.75629
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#HwangLH91
2006-05-03
A state-space behavioral model for CMOS class E power amplifiers.
132-138
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.806602
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#ReynaertMS03
2006-06-27
Techniques for multilayer channel routing.
698-712
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.3209
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#BraunBRSMDM88
2006-04-19
Implementation of a UMTS turbo decoder on a dynamically reconfigurable platform.
100-106
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://dx.doi.org/10.1109/TCAD.2004.839466
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#RosaLP05
2006-04-26
Constrained floorplanning using network flows.
572-580
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.825877
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#FengMY04
2006-06-01
An improved model for solving the optimal placement for river-routing problem.
1473-1480
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256921
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#Healey93
2006-05-24
Post-processing of clock trees via wiresizing and buffering for robust design.
691-701
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.503938
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#PullelaMP96
2006-05-03
Data dependency size estimation for use in memory optimization.
908-921
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.814257
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#KjeldsbergCA03
2006-05-31
Optimization of state encoding in distributed circuits.
581-588
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277631
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LamLL94
2006-05-24
Minimizing the number of switchboxes for region definition and ordering assignment.
336-347
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.489104
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#YanH96
2006-05-22
Power optimization of variable-voltage core-based systems.
1702-1714
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.811318
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#HongKQPS99
2006-06-27
Analysis and proposal of signature circuits for LSI testing.
84-90
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/43.3133
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#Iwasaki88
2006-05-11
SPFD: A new method to express functional flexibility.
840-849
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.856972
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#YamashitaSN00
2006-06-26
Graph theoretic algorithms for the PLA folding problem.
1014-1021
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35554
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#LeckyMA89
2006-06-27
SPIDER: capacitance modelling for VLSI interconnections.
1221-1228
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16800
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#NingD88
2006-05-03
Statistical timing analysis using bounds and selective enumeration.
1243-1260
2003
22
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.816217
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad22.html#AgarwalZB03
2006-06-27
A concurrent testing technique for digital circuits.
1250-1260
1988
7
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.16803
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad7.html#SalujaSK88
2006-06-26
Conflict-free channel definition in building-block layout.
981-988
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35550
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#CaiO89
2006-05-11
Timing- and crosstalk-driven area routing.
528-544
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.918211
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#TsengSS01
2006-06-20
New algorithms for the rectilinear Steiner tree problem.
185-193
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.46785
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#HoVW90
2006-06-26
Two-dimensional impurity profiling with emission computed tomography techniques.
323-335
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
4
http://doi.ieeecomputersociety.org/10.1109/43.29587
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#Goodwin-JohanssonSFM89
2006-10-31
EASE--An Application-Based CAD System for Process Design.
1032-1038
1987
6
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28444&arnumber=1270344&count=16&index=9
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad6.html#MarBDFLNWYZ87
2006-06-20
Process modeling and simulation: boundary conditions for point defect-based impurity diffusion model.
1177-1183
1990
9
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.62754
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad9.html#TaniguchiSH90
2006-05-24
Nonscan design-for-testability techniques using RT-level design information.
1488-1506
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664230
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#DeyP97
2006-06-01
Heuristic minimization of multiple-valued relations.
1458-1472
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.256920
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#WatanabeB93
2006-05-22
Corrections to "mogac: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems".
1527-1527
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/TCAD.1999.790630
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#DickJ99
2006-05-11
Electromagnetic interconnects and passives modeling: softwareimplementation issues.
534-543
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.998625
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#SchoenmakerM02
2006-05-11
n-pass n-detection fault simulation and its applications.
980-986
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.800453
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#PomeranzR02b
2006-04-19
Static leakage reduction through simultaneous V/sub t//T/sub ox/ and state assignment.
1014-1029
2005
24
IEEE Trans. on CAD of Integrated Circuits and Systems
7
http://dx.doi.org/10.1109/TCAD.2005.847906
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad24.html#LeeBS05
2006-06-01
On optimizing VLSI testing for product quality using die-yield prediction.
695-709
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.277614
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#SinghK93
2006-06-20
Optimal channel pin assignment.
1413-1424
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.97620
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#CaiW91
2006-04-26
Test set embedding for deterministic BIST using a reconfigurable interconnection network.
1289-1305
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.831593
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#LiC04
2006-05-24
Balanced partitioning.
1533-1540
1996
15
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.552086
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad15.html#YangW96
2006-05-11
Effect of the switching order on power dissipation inswitched-capacitor circuits.
1389-1397
2001
20
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.969432
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad20.html#Casinovi01
2006-06-20
Buried-channel MOSFET model for SPICE.
1015-1035
1991
10
IEEE Trans. on CAD of Integrated Circuits and Systems
8
http://doi.ieeecomputersociety.org/10.1109/43.85739
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad10.html#TolC91
2006-05-31
The implementation of physical boundary conditions in the Monte Carlo simulation of electron devices.
1241-1246
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
10
http://doi.ieeecomputersociety.org/10.1109/43.317467
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#WoolardTLK94
2006-07-12
Geographical Data Structures Compared: A Study of Data Structures Supporting Region Queries.
53-67
1985
4
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28431&arnumber=1270098&count=11&index=5
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad4.html#Rosenberg85
2006-04-26
Multigranular parallel algorithms for solving linear equations in VLSI circuit simulation.
728-736
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.826549
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#FischerD04
2006-05-24
Performance analysis of embedded software using implicit path enumeration.
1477-1487
1997
16
IEEE Trans. on CAD of Integrated Circuits and Systems
12
http://doi.ieeecomputersociety.org/10.1109/43.664229
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad16.html#LiM97
2006-06-26
CONT: a concurrent test generation system.
966-972
1989
8
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.35548
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad8.html#TakamatsuK89
2006-05-11
Fast exact minimization of BDD's.
384-389
2000
19
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://doi.ieeecomputersociety.org/10.1109/43.833206
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad19.html#DrechslerDG00
2006-04-26
Ring generators - new devices for embedded test applications.
1306-1320
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.831584
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#MrugalskiRT04
2006-05-11
Thorough testing of any multiport memory with linear tests.
217-231
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
2
http://doi.ieeecomputersociety.org/10.1109/43.980260
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#HamdiouiG02
2006-05-31
Optimal retiming of level-clocked circuits using symmetric clock schedules.
1097-1109
1994
13
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/43.310899
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad13.html#LockyearE94
2006-05-12
Value-sensitive automatic code specialization for embedded software.
1051-1067
2002
21
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2002.801096
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad21.html#ChungLDLC02
2006-07-26
Efficient Op Amp Circuit Analysis with Manufacturer Specified Macromodel Parameters.
105-112
1982
1
IEEE Trans. on CAD of Integrated Circuits and Systems
3
http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=28421&arnumber=1270000&count=5&index=0
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad1.html#HageR82
2006-06-01
Computation of drain and substrate currents in ultra-short-channel nMOSFET's using the hydrodynamic model.
817-824
1993
12
IEEE Trans. on CAD of Integrated Circuits and Systems
6
http://doi.ieeecomputersociety.org/10.1109/43.229756
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad12.html#RahmatWA93
2006-05-23
Some remarks on terminology in spectral techniques for logic design: Walsh transform and Hadamard matrices.
1211-1214
1998
17
IEEE Trans. on CAD of Integrated Circuits and Systems
11
http://doi.ieeecomputersociety.org/10.1109/43.736193
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad17.html#Stankovic98
2006-04-26
Constrained test generation for embedded synchronous sequential circuits with serial-input access.
164-172
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
1
http://doi.ieeecomputersociety.org/10.1109/TCAD.2003.819886
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#Pomeranz04
2006-05-22
An efficient approach to multilayer layer assignment with anapplication to via minimization.
608-620
1999
18
IEEE Trans. on CAD of Integrated Circuits and Systems
5
http://doi.ieeecomputersociety.org/10.1109/43.759077
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad18.html#ChangC99
2006-04-26
Operation-centric hardware description and synthesis.
1277-1288
2004
23
IEEE Trans. on CAD of Integrated Circuits and Systems
9
http://doi.ieeecomputersociety.org/10.1109/TCAD.2004.833614
http://www.informatik.uni-trier.de/~ley/db/journals/tcad/tcad23.html#HoeA04
2006-04-27
A Closer Look at Viruses and Worms.
7
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.86
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Paul05
2006-04-19
Privacy Concerns.
11-13
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193206
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Dern03
2006-04-19
Guarding the Castle Keep: Teaching with the Fortress Metaphor.
69-72
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.13
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#FrinckeB04
2006-04-19
News.
8-11
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.79
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Goth04
2006-04-19
Guest Editors' Introduction: E-Voting Security.
22-23
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264849
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#DillR04
2006-04-27
Modeling and Simulation in Security Evaluation.
71-74
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.129
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Nicol05
2006-04-19
From the Editors: Don't Bring a Knife to a Gunfight.
5
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281233
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Cybenko04
2006-04-19
Society Cannot Function Without Privacy.
84-86
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203230
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03b
2006-04-19
Letters to the Editors.
7-9
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.10000
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04a
2006-04-19
Biometric Recognition: Security and Privacy Concerns.
33-42
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193209
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#PrabhakarPJ03
2006-04-27
Cryptographic Hash Standards: Where Do We Go from Here?
88-91
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.37
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Burr06
2006-04-19
An Analysis of the Slapper Worm.
82-87
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177002
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#ArceL03
2006-04-27
Caution: This Product Contains Security Code.
86-88
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236243
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#StytzW03a
2006-04-27
Exploring Privacy Issues in Web Services Discovery Agencies.
14-21
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.121
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#CarminatiFH05
2006-04-27
Authentication and Expiration.
88
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.4
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Schneier05
2006-04-19
Building a Foundation.
14
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203217
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Mead03
2006-04-27
Security Standards for the RFID Market.
85-89
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.157
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#PhillipsKH05
2006-04-27
A Framework to Consider.
14
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.31
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Iverson05
2006-04-19
Cult Classics.
66-68
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.11
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04c
2006-04-27
Letters to the Editor.
4
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.18
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#X05
2006-04-27
Four Ways to Improve Security.
65-67
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.66
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Snow05
2006-04-19
A Survey of Secure Wireless Ad Hoc Routing.
28-39
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.1
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#HuP04
2006-04-27
The Land of the Blind.
63-67
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.107
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Arce05a
2006-04-27
Securing Embedded Systems.
40-49
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.51
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#HwangSTV06
2006-04-27
Privacy and Rationality in Individual Decision Making.
26-33
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.22
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#AcquistiG05
2006-04-19
Impact Analysis of Faults and Attacks in Large-Scale Networks.
49-54
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236235
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#HaririQDRR03
2006-04-19
Measuring Anonymity: The Disclosure Attack.
27-34
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253565
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#AgrawalK03
2006-04-20
Masks: Bringing Anonymity and Personalization Together.
18-23
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203218
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#IshitaniAM03
2006-04-27
When Is a Product a Security Product?
80
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.138
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Geer05a
2006-04-19
News Briefs.
12-13
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10011
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Andresen03b
2006-04-19
The Shellcode Generation.
72-76
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.87
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Arce04b
2006-04-27
Biometric Security Solutions.
7
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.117
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Webb05
2006-04-27
A Warning to Industry--Fix It or Lose It.
56-60
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.32
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Kuper06
2006-04-27
Turing is from Mars, Shannon is from Venus: Computer Science and Computer Engineering.
66-69
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.54
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Smith05
2006-04-19
Book Reviews.
8
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281235
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Stytz04b
2006-04-19
Back to School.
54-56
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.35
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#FrinckeB04a
2006-04-19
Is Privacy Really Constraining Security or Is this a Red Herring?
86-87
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.50
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04c
2006-04-27
News Briefs.
8-10
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.130
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#DrinanK05
2006-04-19
Misuse and Abuse Cases: Getting Past the Positive.
90-92
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.17
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#HopeMA04
2006-04-27
Case Study: Online Banking Security.
14-20
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.36
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#HoleMT06
2006-04-19
Merry Christma: An Early Network Worm.
26-34
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236232
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#CapekCW03
2006-04-19
From the Editor: Sapphire/Slammer Redux.
6
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10002
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cybenko03a
2006-04-19
New Draft to Secure Cyberspace Leaked.
13
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10001
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Andresen03
2006-04-19
Reviewer Thanks.
6
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.10001
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04
2006-04-19
News.
8-13
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203215
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GothA03
2006-04-19
The Making of a Spam Zombie Army: Dissecting the Sobig Worms.
58-59
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219071
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Levy03a
2006-04-27
Bridging the Gap between Software Development and Information Security.
75-79
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.118
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#WykM05
2006-04-27
The State of Security.
51-53
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.134
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Kuper05
2006-04-19
Maximizing Business Information Security's Educational Value.
56-60
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264855
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Grimaila04
2006-04-19
Software Security Testing.
81-85
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.84
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#McGrawP04
2006-04-19
Understanding Trusted Computing: Will Its Benefits Outweigh Its Drawbacks?
60-62
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203224
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Felten03
2006-04-27
Are RFIDs Coming to Get You?
6
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.142
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Libicki05
2006-04-27
EICAR 2005.
45-48
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.96
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Gattiker05
2006-04-19
News.
8-11
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.106
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GothA04a
2006-04-27
The Simple Economics of Cybercrimes.
33-39
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.27
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Kshetri06
2006-04-27
Economics of Software Vulnerability Disclosure.
20-25
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.12
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#AroraT05
2006-04-19
Quantum Cryptography.
57-61
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.54
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Elliott04
2006-04-19
Book Reviews.
10
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.38
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04e
2006-04-19
The Assault on Logic.
91-95
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219080
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03c
2006-04-19
Information Assurance the West Point Way.
64-67
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236238
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#RagsdaleWD03
2006-04-19
From the Editor: Security Cosmology: Moving from Big Bang to Worlds in Collusion.
5
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10012
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Landwehr03
2006-04-19
Wireless Security's Future.
68-72
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219074
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Potter03
2006-04-19
Book Reviews.
20-21
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264847
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Stytz04
2006-04-19
Information Leakage Caused by Hidden Data in Published Documents.
23-27
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281241
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Byers04
2006-04-27
Security Usability.
56-58
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.104
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#GutmannG05
2006-04-19
Copyright and Creativity.
76-78
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.6
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Lesk04b
2006-04-19
Data Obfuscation: Anonymity and Desensitization of Usable Data Sets.
34-41
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.97
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#BakkenPBFP04
2006-04-19
Risk-based Systems Security Engineering: Stopping Attacks with Intention.
59-62
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.109
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#EvansHKPW04
2006-04-19
Digital Evidence: Dream and Reality.
44-48
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236234
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#OppligerR03
2006-04-27
Toward Econometric Models of the Security Risk from Remote Attack.
40-44
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.30
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Schechter05
2006-04-19
Speech Privacy Technophobes Need Not Apply.
86-87
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.85
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04d
2006-04-19
The Weakest Link Revisited.
72-76
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193216
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Arce03
2006-04-19
Worm and Attack Early Warning.
73-75
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.28
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Stolfo04
2006-04-27
Malcode Mysteries Revealed.
72-75
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.73
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Ford05
2006-04-19
In Search of Usable Security: Five Lessons from the Field.
19-24
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.71
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#BalfanzDGS04
2006-04-19
Hey, Robot!
51-55
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203222
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Donner03c
2006-04-27
Programming Languages and Systems Security.
80-83
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.77
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Skalka05
2006-04-19
Privacy Recovery with Disposable Email Addresses.
35-39
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253566
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#SeigneurJ03
2006-04-19
The Honeynet Project: Trapping the Hackers.
15-23
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193207
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Spitzner03
2006-04-19
Legal Battle Looming for Internet Protections Acts.
10-12
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176991
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Miller03
2006-04-27
Overview of Cyber Security: A Crisis of Prioritization.
9-11
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.76
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#LandauSLS05
2006-04-27
Winning the Game of Risk: Neumann's Take on Sound Design.
9-12
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.164
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#McLaughlin05b
2006-04-27
FBI's Virtual Case File Living in Limbo.
7
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.41
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Alfonsi05
2006-04-27
Adopting an Enterprise Software Security Framework.
84-87
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.33
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Steven06
2006-04-27
Economically Complex Cyberattacks.
64-67
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.146
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Borg05
2006-04-27
Is Finding Security Holes a Good Idea?
14-19
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.17
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Rescorla05
2006-04-27
Changing the Puzzle Pieces.
3-4
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.9
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Landwehr05
2006-04-19
Software Security for Open-Source Systems.
38-45
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176994
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cowan03
2006-04-19
Remembrance of Data Passed: A Study of Disk Sanitization Practices.
17-27
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176992
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GarfinkelS03
2006-04-27
Centers of Academic Excellence: A Case Study.
62-65
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.8
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#YasinsacB05
2006-04-27
Information Assurance Technology Forecast 2005.
62-69
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.14
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#GligorHKLLM06
2006-04-27
Alliance Addresses VoIP Security.
8
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.92
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Alfonsi05a
2006-04-27
A Security Analysis of the Internet Chess Club.
46-52
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.2
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#BlackCG06
2006-04-27
Denial of Service against the Domain Name System.
40-45
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.10
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Cheung06
2006-04-19
News.
14-19
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264844
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GothGA04
2006-04-19
How to Win and Evolutionary Arms Race.
70-72
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.100
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Somayaji04
2006-04-27
Learning from Information Security History.
77-79
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.17
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Ruiu06
2006-04-19
Honeypot Forensics Part I: Analyzing the Network.
72-78
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.47
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#RaynalBBK04
2006-04-19
Does the Common Criteria Paradigm Have a Future?
64-65
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264857
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Hearn04
2006-04-19
Multilevel Security: Reprise.
64-67
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.78
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Saydjari04
2006-04-19
Computer Forensics Education.
15-23
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219052
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#YasinsacEMPS03
2006-04-19
Protecting Privacy in Continuous Location-Tracking Applications.
28-34
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281242
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GruteserL04
2006-04-27
Developing and Sustaining Information Assurance: The Role of Community Colleges (Part 1).
61-63
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.145
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SheoranFB05
2006-04-19
Deus Est Machina.
51-53
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.42
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04e
2006-10-04
Technology Education at the US Military Academy.
49-53
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.52
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#DodgeR05
2006-04-27
How to Think about Security.
68-71
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.39
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#WhittakerF06
2006-04-19
News Briefs.
12-13
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10008
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Andresen03a
2006-04-19
Deploying and Using Public Key Technology: Lessons Learned in Real Life.
67-71
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.41
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GuidaSBSM04
2006-04-27
Security Meter: A Practical Decision-Tree Model to Quantify Risk.
18-24
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.81
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Sahinoglu05
2006-04-19
Hide and Seek: An Introduction to Steganography.
32-44
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203220
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#ProvosH03
2006-04-27
Sociotechnical Architecture for Online Privacy.
29-39
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.50
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#JutlaB05
2006-04-27
Signaling Vulnerabilities in Wiretapping Systems.
13-25
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.160
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SherrCCB05
2006-04-19
Security and Privacy Welcomes New Editorial Board Members.
6-7
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10010
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03c
2006-04-27
It Depends on What You Pay.
3
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.72
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Schneider05
2006-04-27
What's in a Name?
4-5
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.56
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Donner05
2006-04-19
Shedding Light on Creativity: The History of Photography.
62-64
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281249
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Lesk04a
2006-04-27
The Blaster Worm: Then and Now.
26-31
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.106
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#BaileyCJWN05
2006-04-19
IP Traceback: A New Denial-of-Service Deterrent?
24-31
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203219
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Aljifri03
2006-04-19
Chicken Little and the Recorded Music Crisis.
73-75
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236239
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03d
2006-04-27
Challenges in Securing the Domain Name System.
84-87
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.8
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#ChandramouliR06
2006-04-19
Copyright Enforcement or Censorship: New Uses for the DMCA?
67-69
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193214
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03a
2006-04-19
The Kernel Craze.
79-81
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.25
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Arce04a
2006-04-19
From the Editors: A Witty Lesson.
5
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.44
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04d
2006-04-27
Guest Editor's Introduction: Infrastructure Security--Reliability and Dependability of Critical Systems.
15-17
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.68
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Amin05
2006-04-27
News Briefs.
8-10
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.48
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#GoetzJK05a
2006-04-19
A Funny Thing Happened on the Way to the Marketplace.
74-78
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253574
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#SmithRG03
2006-04-19
Privacy Law Resource for Students and Professionals.
7
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.107
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Forbes04
2006-04-27
Valuating Privacy.
22-25
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.137
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#HubermanAF05
2006-04-27
Demystifying the Threat-Modeling Process.
66-70
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.119
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Torr05
2006-04-19
Processes for Producing Secure Software: Summary of US National Cybersecurity Summit Subgroup Report.
18-25
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.21
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#DavisHRZM04
2006-04-27
When Hashes Collide.
68-71
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.84
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#GutmannNP05
2006-04-27
The Impending Debate.
4-5
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.55
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Donner06
2006-04-19
Letters to the Editor.
6-7
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.76
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04f
2006-04-19
North America's Electricity Infrastructure: Are We Ready for More Perfect Storms?
19-25
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236231
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Amin03
2006-04-19
AI Bites Man?
63-66
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176997
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Donner03
2006-04-27
Security and Privacy: Enemies or Allies?
92
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.80
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Bellovin05
2006-04-19
Financial Privacy Policies and the Need for Standardization.
36-45
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281243
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#AntonEHSBJ04
2006-04-19
Crossover: Online Pests Plaguing the Offline World.
71-73
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253573
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Levy03b
2006-04-19
Information Security: Why the Future Belongs to the Quants.
24-32
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219053
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GeerHJ03
2006-04-19
The Speed of Security.
96
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219081
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneier03c
2006-04-19
Letters to the Editor.
8-9
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.15
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04c
2006-04-19
The Rising Threat of Vulnerabilities Due to Integer Errors.
77-82
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219077
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Ahmad03
2006-04-19
Two Security Symposia.
50-52
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10009
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#YuWH03
2006-04-19
The Appropriate Use of Force-on-Force Cyberexercises.
33-37
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.58
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#WhiteC04
2006-04-19
RFID Privacy Workshop: Concerns, Consensus, and Questions.
48-50
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281245
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Weis04
2006-04-27
Exploring a National Cybersecurity Exercise for Universities.
27-33
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.120
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#HoffmanRDR05
2006-04-19
S&P Welcomes New Editorial Board Members.
6-7
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10007
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03a
2006-04-19
Book Reviews.
7
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.5
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Pfleeger04
2006-04-27
Soups 2005.
47-50
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.131
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#ReederA05
2006-04-19
A Critical Need, An Ambitious Mission, A New Magazine.
5-9
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10000
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cybenko03
2006-04-19
Security Alchemy.
5
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.110
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Cybenko04a
2006-04-27
Handling and Reporting Security Advisories: A Scorecard Approach.
32-41
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.98
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#LekkasS05
2006-04-19
Designing and Evaluating Challenge-Question Systems.
32-39
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.80
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Just04
2006-04-19
Fairy Dust, Secrets, and the Real World.
89-93
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177003
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Smith03
2006-04-19
What Is Computer Security?
67-69
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176998
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Bishop03
2006-04-19
The Dinosaur and the Butterfly: A Tale of Computer Ethics.
61-63
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236237
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lenarcic03
2006-04-19
Grand Challenges in Information Security: Process and Output.
69-71
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264859
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#SmithS04
2006-04-27
Computer Forensics.
59-62
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.95
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Allen05
2006-04-27
Does Trusted Computing Remedy Computer Security Problems?
16-19
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.40
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#OppligerR05
2006-04-19
What Works?
76-77
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236240
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Hearn03d
2006-04-27
Building Secure Web-Based Environments: Understanding Research Interrelationships through a Construction Metaphor.
74-77
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.7
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Adams05
2006-04-19
The Girl with No Eyes.
60-64
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219072
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Donner03d
2006-04-19
The Rise of the Gadgets.
78-81
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236241
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Arce03a
2006-04-19
Online Monitoring: Security or Social Control?
81-83
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264862
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04
2006-04-27
RFID Privacy: An Overview of Problems and Proposed Solutions.
34-43
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.78
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#GarfinkelJP05
2006-04-27
Methodological Foundations: Enabling the Next Generation of Security.
54-57
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.47
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#MaxionR05
2006-04-19
Letters to the Editor.
7-8
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.51
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04d
2006-04-19
Beyond Stack Smashing: Recent Advances in Exploiting Buffer Overruns.
20-27
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.36
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#PincusB04
2006-04-19
Moving Forward?
70-71
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193215
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Hearn03a
2006-04-19
Why Security Testing Is Hard.
83-86
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219078
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Thompson03
2006-04-19
Considering Defense in Depth for Software Applications.
72-75
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264860
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Stytz04a
2006-04-19
Privacy vs. Information Technology.
100-103
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177005
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03
2006-04-19
The Wrong Stuff?
86-89
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.27
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Ford04
2006-04-27
Randomness in Cryptography.
64-67
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.49
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Gennaro06
2006-04-19
News.
12-15
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.53
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#StoneAA04
2006-04-19
Enhancing Security: Not for the Conformist.
86-88
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.98
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04e
2006-04-27
From AWK to Google: Peter Weinberger Talks Search.
11-13
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.123
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#McLaughlin05a
2006-04-27
News Briefs.
7-8
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.154
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#DrinanFK05
2006-04-19
SIMS: Solution, or Part of the Problem?
88
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.83
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneier04c
2006-04-27
Guest Editors' Introduction: Economics of Information Security.
12-13
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.14
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#AndersonS05
2006-04-27
Secure Software Development by Example.
10-17
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.103
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#ApvrilleP05
2006-04-19
Access Denied.
82-85
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236242
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Camp03
2006-04-19
Academic Degrees and Professional Certification.
56-58
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.91
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#FrinckeB04c
2006-04-19
Typing Patterns: A Key to User Identification.
40-47
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.89
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#PeacockKW04
2006-04-19
Bacon Ice Cream: The Best Mix of Proactive and Reactive Security?
53-57
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10006
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03b
2006-04-19
Monoculture.
14-19
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253563
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GeerAW03
2006-04-19
Computer Security.
68-71
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.66
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#AndrewsW04
2006-04-19
We Are All Security Consumers.
104
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177006
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneier03
2006-04-19
Susceptibility Matrix: A New Aid to Software Auditing.
16-21
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281240
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#JiwnaniZ04
2006-04-27
The One-Eyed Man Is King.
4-5
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.108
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Cybenko05
2006-04-19
Customers, Passwords, and Web Sites.
88
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.39
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneier04b
2006-04-19
2003 Annual Index IEEE Security & Privacy Volume 1.
83-88
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10015
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03e
2006-04-19
Building More Secure Software with Improved Development Processes.
63-65
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.95
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Howard04
2006-04-19
Inside the Windows Security Push.
57-61
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176996
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#HowardL03
2006-04-19
The Morris Worm: A Fifteen-Year Perspective.
35-43
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236233
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Orman03
2006-04-19
Computer Network Security: Report from MMM-ACNS.
49-52
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264853
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#SklavosMGK04
2006-04-19
Teaching Robust Programming.
54-57
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281247
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#BishopF04
2006-04-19
Guest Editors' Introduction: Why Attacking Systems Is a Good Idea.
17-19
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.46
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#ArceM04
2006-04-27
There Ain't No Inside, There Ain't No Outside...
4-5
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.135
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Donner05b
2006-04-19
The Good, the Bad, and the Ugly: What Might Change if We Had Good DRM.
63-66
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203225
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03b
2006-04-19
Feist and Facts: If Data Is Protected, Will It Be More or Less Available?
68-70
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253572
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03e
2006-04-27
Why Johnny Can't Evaluate Security Risk.
5
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.30
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Cybenko06
2006-04-27
Trends in Process Control Systems Security.
57-60
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.136
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Miller05
2006-04-27
Crypto 2004.
11-13
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.39
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Weiss05
2006-04-27
A Young Geek's Fancy Turns to...Science Fiction?
58-60
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.59
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Donner05a
2006-04-19
Post-Apocalypse Now.
53-55
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193211
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Donner03a
2006-04-27
Pretending that Systems Are Secure.
73-76
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.155
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Smith05a
2006-04-19
Bigger Share of a Smaller Pie.
62-64
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.37
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Lesk04c
2006-04-27
Adopting a Software Security Improvement Program.
88-91
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.60
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#TaylorM05
2006-04-19
Two Views on Security Software Liability: Let the Legal System Decide.
70-72
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176999
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Ryan03
2006-04-27
Bad Peripherals.
70-73
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.6
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Arce05
2006-04-19
Boiling Frogs?
5
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10005
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cybenko03b
2006-04-19
Security and Compliance.
96
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.22
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneier04a
2006-04-19
The Power of Candy-Coated Bits.
69-72
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281251
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Wayner04
2006-04-27
A Short Visit to the Bot Zoo.
76-79
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.58
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Holz05
2006-04-19
Using Honeynets to Protect Large Enterprise Networks.
73-75
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.115
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#LevineGO04
2006-04-19
Least Privilege and More.
55-59
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236236
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneider03
2006-04-19
Risk Analysis in Software Design.
79-84
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.55
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#VerdonM04
2006-04-27
Protecting Personal Privacy: Hauling Down the Jolly Roger.
72-74
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.102
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Stytz05a
2006-04-19
Copyright Extension: Eldred v. Ashcroft.
76-78
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177000
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03
2006-04-27
A Human Endeavor: Lessons from Shakespeare and Beyond.
49-51
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.87
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#BishopF05
2006-04-19
Secret-Ballot Receipts: True Voter-Verifiable Elections.
38-47
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264852
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Chaum04
2006-04-19
Guest Editors' Introduction: Secure or Usable?
16-18
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.69
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#CranorG04
2006-04-20
Toward Reliable User Authentication through Biometrics.
45-49
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203221
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#MatyasR03
2006-04-19
Election Security: Perception and Reality.
24-31
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264850
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#EvansP04
2006-04-27
Secure Coding in C and C++: Of Strings and Integers.
74-76
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.22
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Seacord06
2006-04-19
Taking a Lesson from Stealthy Rootkits.
38-45
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.57
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#RingC04
2006-04-27
Interview: Holistic Security.
6-8
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.71
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#McLaughlin05
2006-04-27
News Briefs.
9-10
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.20
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#GoetzJK05
2006-04-19
Software Security.
80-83
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281254
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#McGraw04
2006-04-19
Criminals Become Tech Savvy.
65-68
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281250
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Levy04
2006-04-27
Phishing Attacks Rising, But Dollar Losses Down.
8
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.21
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Goth05
2006-04-27
A Contextual Framework for Combating Identity Theft.
30-38
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.31
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#WangYA06
2006-04-27
Taking Networks on the Road: Portable Solutions for Security Educators.
57-60
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.25
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#RosenbergH06
2006-04-19
Slow Dancing.
67-68
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203226
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Hearn03b
2006-04-27
Software Penetration Testing.
84-87
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.23
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#ArkinSM05
2006-04-27
The Economics of Resisting Censorship.
45-50
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.29
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#DanezisA05
2006-04-19
Interface Illusions.
66-69
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.104
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Levy04b
2006-04-19
Can We Win the Security Game?
10-12
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264842
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Palmer04
2006-04-27
Secure Internet Banking Authentication.
21-29
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.50
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#HiltgenKW06
2006-04-19
From the Editors: The Next Digital Divide.
5
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264838
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneider04
2006-04-19
P3P: Making Privacy Policies More Useful.
50-55
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253568
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cranor03
2006-04-19
SEHAS 2003: The Future of High-Assurance Systems.
68-72
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10013
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Mead03a
2006-04-27
Knowledge for Software Security.
74-78
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.45
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#BarnumM05
2006-04-19
Why Secure Applications are Difficult to Write.
81-83
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193218
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Whittaker03
2006-04-19
News.
8-11
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219049
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McLaughlinC03
2006-04-27
A Framework for Countering Denial-of-Information Attacks.
50-56
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.140
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#ContiA05
2006-04-27
The End of End-to-End Security?
76-79
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.54
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Bradner06
2006-04-19
The Kerf Toolkit for Intrusion Analysis.
42-52
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.113
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#AslamBKPTR04
2006-04-27
Philip Zimmermann on What's Next after PGP.
10-13
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.20
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#McLaughlin06
2006-04-19
Inside the Slammer Worm.
33-39
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219056
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#MoorePSSSW03
2006-04-27
Collaborative Internet Worm Containment.
25-33
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.63
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#CaiHKSC05
2006-04-19
Rights Amplification in Master-Keyed Mechanical Locks.
24-32
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193208
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Blaze03
2006-04-27
News Briefs.
14-16
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.19
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#DrinanFK06
2006-04-19
The Honeynet Arms Race.
79-82
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253575
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McCarty03b
2006-04-27
The Zotob Storm.
96
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.163
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Schneier05a
2006-04-19
Letters to the Editor.
6-7
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.10002
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04b
2006-04-19
Making the Copyright Law Work.
73-74
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219075
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Lesk03c
2006-04-19
Automated Identity Theft.
89-92
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236244
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McCarty03a
2006-04-19
Cybercrime Treaty Could Chill Research.
28-32
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.40
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Meinel04
2006-04-19
Crime Scene Investigators: The Next Generation.
15
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236229
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Campbell03
2006-04-19
2004 Annual Index.
80-85
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.90
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04h
2006-04-19
Honeypot Forensics, Part II: Analyzing the Compromised Host.
77-80
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.70
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#RaynalBBK04a
2006-04-27
Letters to the Editor.
5
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.151
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#X05a
2006-04-19
Validation of Sensor Alert Correlators.
46-56
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176995
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#HainesRTT03
2006-04-27
Finding Faults.
61-65
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.122
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Naccache05
2006-04-27
Implementing Trustworthy Services Using Replicated State Machines.
34-43
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.125
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SchneiderZ05
2006-04-27
Seven Pernicious Kingdoms: A Taxonomy of Software Security Errors.
81-84
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.159
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#TsipenyukCM05
2006-04-27
Canning Spam: Proposed Solutions to Unwanted Email.
40-47
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.38
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#PfleegerB05
2006-04-27
New Threats and Attacks on the World Wide Web.
72-75
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.46
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#HolzMR06
2006-04-19
Security or Cosmetology?
93-95
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.23
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04b
2006-04-27
Should Indexing Be Fair Use? The Battle over Google Book Search.
80-83
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.52
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Lesk06
2006-04-27
Network Security Basics.
68-72
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.153
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Marin05
2006-04-27
Who Owns Your Computer?
61-63
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.56
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#BishopF06
2006-04-27
Directors' Digital Fiduciary Duties.
78-82
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.11
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Trope05
2006-04-19
A Call to Action: Look Beyond the Horizon.
62-67
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253571
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Wing03
2006-04-27
Salute the Broadcast Flag.
84-87
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.79
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Lesk05
2006-04-19
Measuring the 4: 11 Effect: The Power Failure and the Internet.
16-18
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236230
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McGrath03
2006-04-19
More Bang For the Bug: An Account of 2003's Attack Trends.
66-68
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264858
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Arce04
2006-04-19
Static Analysis for Security.
76-79
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.111
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#ChessM04
2006-04-27
Studying Attacks to Improve Software Defense.
11
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.25
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Sytz05
2006-04-19
Botnets: Big and Bigger.
87-90
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219079
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McCarty03
2006-04-19
Autonomic 802.11 Wireless LAN Security Auditing.
56-65
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.4
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#BranchPDS04
2006-04-19
Time Out for Station Identification.
5
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.88
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneider04a
2006-04-19
News: Richard Clarke Talks Cybersecurity and JELL-O.
11-17
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.18
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GothA04
2006-04-19
The Case for Software Warranties.
80-82
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203229
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Stytz03
2006-04-19
Humans in the Loop: Human-Computer Interaction and Security.
75-79
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203228
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Smith03a
2006-04-21
Guilty Until Proven Innocent?
88, 87
2003
1
IEEE Security & Privacy
3
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneier03b
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203231
2006-04-27
SecureWorld Expo 2005.
57-60
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.156
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Heikkila05
2006-04-19
The Spread of the Witty Worm.
46-50
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.59
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#ShannonM04
2006-04-19
Keeping Up Appearances.
75-76
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219076
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Hearn03c
2006-04-27
Technology and Web User Data Privacy: A Survey of Risks and Countermeasures.
52-58
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.27
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Linn05
2006-04-19
ACM Computer and Communication Security Conference.
46-47
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281244
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Popli04
2006-04-27
CyberCIEGE: Gaming for Information Assurance.
61-64
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.64
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#IrvineTA05
2006-04-27
Worm Propagation and Generic Attacks.
63-65
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.57
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Levy05
2006-04-19
The Cost of Convenience: A Faustian Deal.
84-87
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281255
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Caloyannides04a
2006-04-27
Toward a Cyberconflict Studies Research Agenda.
52-55
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.110
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Mulvenon05
2006-04-27
The NIST Cryptographic Workshop on Hash Functions.
54-56
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.26
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#RechbergerRS06
2006-04-27
NewsBriefs.
12-14
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.75
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#JonesK05
2006-04-19
Joining the Security Education Community.
61-63
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.75
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#FrinckeB04b
2006-04-19
Jennifer Government.
57-59
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.74
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04f
2006-04-27
Internet War Games: Power of the Masses.
7
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.44
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Felker06
2006-04-19
Hacking the Best-Seller List.
51-53
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281246
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04a
2006-04-19
Privacy on the Web: Facts, Challenges, and Solutions.
40-49
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253567
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#RezguiBE03
2006-04-19
News.
8-11
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236226
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GothM03
2006-04-19
Selecting the Advanced Encryption Standard.
43-52
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193210
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Burr03
2006-04-19
Privacy-Preserving Data Mining: Why, How, and When.
19-27
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.108
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#VaidyaC04
2006-04-19
Patient Privacy in Electronic Prescription Transfer.
77-80
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193217
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#BallCM03
2006-04-19
Micropayments: An Idea Whose Time Has Passed Twice?
61-63
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264856
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Lesk04
2006-04-19
Letters to the Editor.
14
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10014
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03d
2006-04-19
From the Ground Up: The DIMACS Software Security Workshop.
59-66
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193213
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#McGraw03
2006-04-19
Hack-a-Vote: Security Issues with Electronic Voting Systems.
32-37
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264851
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#BannetPRSW04
2006-04-19
The Dangers of Mitigating Security Design Flaws: A Wireless Case Study.
28-36
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1176993
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#PetroniA03
2006-04-19
Overview of IEEE 802.16 Security.
40-48
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.20
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#JohnstonW04
2006-04-27
Unconventional Wisdom.
88
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.28
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Bellovin06
2006-04-19
Email-Based Identification and Authentication: An Alternative to PKI?
20-26
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253564
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Garfinkel03
2006-04-27
The Denial-of-Service Dance.
34-40
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.162
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Campbell05
2006-04-19
Regulation and Information Security: Can Y2K Lessons Help Us?
58-61
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281248
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Payne04
2006-04-27
Challenges in Securing Voice over IP.
44-49
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.62
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#WalshK05
2006-04-19
Voting Security and Technology.
84
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264863
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Schneier04
2006-04-27
The Problem Statement is the Problem.
80
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.53
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Geer05
2006-04-19
Setting the Standard for Security Literature.
14
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219051
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Stytz03a
2006-04-19
International Participation: The Continuing March Toward Security and Privacy.
79-81
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177001
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Hearn03
2006-04-19
Die Gedanken Sind Frei.
53-55
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264854
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04
2006-04-27
Green Computing.
3
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.148
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Landwehr05a
2006-04-19
The Ultimate in Instant Gratification.
56-58
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253569
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Quarterman03
2006-04-27
Protecting Client Privacy with Trusted Computing at the Server.
20-28
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.49
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#IlievS05
2006-04-19
Teaching Constructive Security.
59-61
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253570
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Irvine03
2006-04-27
Software Security and SOA: Danger, Will Robinson!
80-83
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.23
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#EpsteinMM06
2006-04-19
From the Editors: Whose Data Are These, Anyway?
5-6
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.12
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04b
2006-04-27
Security, Wiretapping, and the Internet.
26-33
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.158
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Landau05
2006-04-27
Averting Security Missteps in Outsourcing.
70-73
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.36
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#PowerT05
2006-04-19
The Honeywall CD-ROM.
77-79
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281253
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Chamales04
2006-04-27
Password Memorability and Security: Empirical Results.
25-31
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.81
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#YanBAG04
2006-04-19
Approaching Zero.
65-66
2004
2
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.33
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Levy04a
2006-04-19
Digital "Evidence" and Reasonable Doubt.
89-91
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1266366
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03e
2006-04-19
Inside JetBlue's Privacy Policy Violations.
12-18
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.103
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#AntonHB04
2006-04-27
Teaching Secure Programming.
54-56
2005
3
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.133
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#BishopF05a
2006-04-27
The Demographics of the Do-Not-Call List.
34-39
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.28
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#VarianWW05
2006-04-19
Certifying Open Source-The Linux Experience.
28-33
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.96
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#ShankarK04
2006-04-19
Toward a Security Ontology.
6-7
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10004
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Donner03b
2006-04-27
Applying the Common Criteria in Systems Engineering.
50-55
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.35
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#KeblawiS06
2006-04-19
Engineering or Sloganeering? The Counterattack on Privacy.
84-87
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193219
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03a
2006-04-27
Toward an Automated Attack Model for Red Teams.
18-25
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.111
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#RayVK05
2006-04-19
Use the Force, Luke!
53-55
2004
2
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.114
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Donner04g
2006-04-19
Letters to the Editor.
7-10
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2003.10003
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#X03
2006-04-19
News.
8-13
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253561
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#GothA03a
2006-04-27
What Is Cryptography?
70-73
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.29
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Coron06
2006-04-19
Sandmark--A Tool for Software Protection Research.
40-49
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219058
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#CollbergMH03
2006-04-19
Locks and Full Disclosure.
88
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193220
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneier03a
2006-04-19
Online Impersonation in Securities Scams.
82-85
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.19
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Vilardo04
2006-04-27
The TIPPI Point: Toward Trustworthy Interfaces.
68-71
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.109
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SinclairS05
2006-04-19
Poisoning the Software Supply Chain.
70-73
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203227
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Levy03
2006-04-19
Guest Editor's Introduction: Wired on Wireless.
26-27
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.14
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Arbaugh04
2006-04-27
Chattering about SIGINT.
9
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.9
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#Diffie06
2006-04-27
News Briefs.
8-13
2006
4
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.47
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#KeslerDF06
2006-04-19
From the Editors: Privacy Is the Issue.
5-7
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1253559
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Cybenko03c
2006-04-19
Keeping Offline Computer Usage Private.
93-95
2003
1
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1236245
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Caloyannides03d
2006-04-27
Acting Responsibly with Geospatial Data.
77-80
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.141
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#PowerT05a
2006-04-27
Violating Assumptions with Fuzzing.
58-62
2005
3
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.55
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Oehlert05
2006-04-19
Who Watches the Security Educators?
56-58
2003
1
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203223
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Frincke03
2006-04-27
Application Penetration Testing.
66-69
2005
3
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.3
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Thompson05
2006-04-19
The Security and Privacy of Smart Vehicles.
49-55
2004
2
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.26
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#HubauxCL04
2006-04-19
Airplane Hackers.
92
2003
1
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1266367
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Schneier03d
2006-04-27
Countering Network Worms Through Automatic Patch Generation.
41-49
2005
3
IEEE Security & Privacy
6
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.144
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SidiroglouK05
2006-04-28
Enabling Video Privacy through Computer Vision.
50-57
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.65
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#SeniorPHBTECSL05
2006-04-19
Ethics and Teaching Information Assurance.
65-67
2003
1
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1219073
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Endicott-Popovsky03
2006-04-19
Protecting Consumers' Private Health Information.
12
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.82
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#X04g
2006-04-27
Under the Black Hat.
5
2005
3
IEEE Security & Privacy
3
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.83
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#Stytz05
2006-04-27
Detecting and Categorizing Kernel-Level Rootkits to Aid Future Detection.
24-32
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.11
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#LevineGO06
2006-04-19
Two Views on Security Software Liability: Using the Right Legal Tools.
73-75
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1203443
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#Heckman03
2006-04-19
Anti-Honeypot Technology.
76-79
2004
2
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1264861
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Krawetz04
2006-04-19
Software Protection: Security's Last Stand?
95-98
2003
1
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1177004
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#StytzW03
2006-04-19
Aligning Security and Usability.
48-55
2004
2
IEEE Security & Privacy
5
http://doi.ieeecomputersociety.org/10.1109/MSP.2004.64
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Yee04
2006-04-19
A Warranty of Cyberworthiness.
73-76
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281252
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#Trope04
2006-04-27
A Portal for Software Security.
75-79
2005
3
IEEE Security & Privacy
4
http://doi.ieeecomputersociety.org/10.1109/MSP.2005.88
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp3.html#MeadM05
2006-04-19
News.
9-15
2004
2
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2004.1281236
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp2.html#GothAA04
2006-04-27
Digital Rights Management, Spyware, and Security.
18-23
2006
4
IEEE Security & Privacy
1
http://doi.ieeecomputersociety.org/10.1109/MSP.2006.12
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp4.html#FeltenH06
2006-04-19
Teaching Students to Design Secure Systems.
56-58
2003
1
IEEE Security & Privacy
2
http://doi.ieeecomputersociety.org/10.1109/MSECP.2003.1193212
http://www.informatik.uni-trier.de/~ley/db/journals/ieeesp/ieeesp1.html#DavisD03
2006-05-05
An Operational Foundation for Delimited Continuations in the CPS Hierarchy.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:5)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#BiernackaBD05
2006-05-05
Split-2 bisimilarity has a finite axiomatization over CCS with Hennessy's merge.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:3)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#AcetoFIL05
2006-05-05
Modularizing the Elimination of r=0 in Kleene Algebra.
2005
1
Logical Methods in Computer Science
3
http://dx.doi.org/10.2168/LMCS-1(3:4)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Hardin05
2006-05-05
Comparing hierarchies of total functionals.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:4)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Normann05
2006-06-12
Extending the Extensional Lambda Calculus with Surjective Pairing is Conservative.
2006
2
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-2(2:1)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#Stoevring06
2006-05-05
Strong normalization for applied lambda calculi.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:3)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Berger05
2006-05-05
Internalising modified realisability in constructive type theory.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:2)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Palmgren05
2006-05-05
Linear datalog and bounded path duality of relational structures.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:5)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Dalmau05
2006-05-05
Model-checking problems as a basis for parameterized intractability.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:2)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#FlumG05
2006-05-05
Model Checking Probabilistic Pushdown Automata.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:2)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#KuceraEM06
2006-07-19
Modal Logics of Topological Relations.
2006
2
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-2(2:5)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#LutzW06
2006-05-05
Deciding Quantifier-Free Presburger Formulas Using Parameterized Solution Bounds.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:6)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#SeshiaB05
2006-05-05
Security Policies as Membranes in Systems for Global Computing.
2005
1
Logical Methods in Computer Science
3
http://dx.doi.org/10.2168/LMCS-1(3:2)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#GorlaHS05
2006-05-05
Probabilistic Algorithmic Knowledge.
2005
1
Logical Methods in Computer Science
3
http://dx.doi.org/10.2168/LMCS-1(3:1)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#HalpernP05
2006-05-05
Extending the theory of Owicki and Gries with a logic of progress.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:6)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#DongolG06
2006-06-12
The monadic second-order logic of graphs XVI : Canonical graph decompositions.
2006
2
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-2(2:2)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#Courcelle06
2006-05-05
Contextual equivalence for higher-order pi-calculus revisited.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:4)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#JeffreyR05
2006-06-12
A System of Interaction and Structure II: The Need for Deep Inference.
2006
2
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-2(2:4)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#Tiu06
2006-05-05
Approximate reasoning for real-time probabilistic processes.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:4)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#GuptaJP06
2006-05-05
Almost periodic functions, constructively.
2005
1
Logical Methods in Computer Science
3
http://dx.doi.org/10.2168/LMCS-1(3:3)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Spitters05
2006-06-12
On the Expressiveness of the Ambient Logic.
2006
2
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-2(2:3)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#HirschkoffLS06
2006-05-05
Labelled transition systems as a Stone space.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:1)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Huth05
2006-05-05
Computably Based Locally Compact Spaces.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:1)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#000206
2006-05-05
Theories for TC0 and Other Small Complexity Classes.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:3)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#NguyenC06
2006-05-05
General recursion via coinductive types.
2005
1
Logical Methods in Computer Science
2
http://dx.doi.org/10.2168/LMCS-1(2:1)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#Capretta05
2006-05-05
The succinctness of first-order logic on linear orders.
2005
1
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-1(1:6)2005
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs1.html#GroheS05
2006-05-05
Algorithmic correspondence and completeness in modal logic. I. The core algorithm SQEMA.
2006
2
Logical Methods in Computer Science
1
http://dx.doi.org/10.2168/LMCS-2(1:5)2006
http://www.informatik.uni-trier.de/~ley/db/journals/lmcs/lmcs2.html#ConradieGV06
2006-05-18
Design of microarray probes for virus identification and detection of emerging viruses at the genus level.
232
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-232
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChouLCHLHYP06
2006-05-17
A configuration space of homologous proteins conserving mutual information and allowing a phylogeny inference based on pair-wise Z-score probabilities.
49
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-49
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BastienORM05
2006-07-17
Paircomp, FamilyRelationsII and Cartwheel: tools for interspecific sequence comparison.
70
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-70
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BrownXDC05
2006-05-18
Selection of antisense oligonucleotides based on multiple predicted target mRNA structures.
122
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-122
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BoLSSYW06
2006-05-17
Boosting accuracy of automated classification of fluorescence microscope images for location proteomics.
78
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-78
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#HuangM04
2006-05-17
<sup><i>pro</i></sup>proteinase cleavage sites: Possible relevance to SARS virus pathology.
72
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-72
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#KiemerLBB04
2006-05-16
<i>cis-</i><i>Drosophila</i>embryo.
30
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-30
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#RajewskyVGS02
2006-05-16
An automated method for finding molecular complexes in large protein interaction networks.
2
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-2
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#BaderH03
2006-05-18
<i>PowerAtlas</i>: a power and sample size atlas for microarray experimental design and research.
84
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-84
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PageEGYWTA06
2006-05-17
<i>FACT</i>- a framework for the functional interpretation of high-throughput experiments.
161
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-161
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KokocinskiDWHTL05
2006-05-16
Reannotation of the CELO genome characterizes a set of previously unassigned open reading frames and points to novel modes of host interaction in avian adenoviruses.
55
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-55
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#WashietlE03
2006-05-16
Evolutionary algorithms for the selection of single nucleotide polymorphisms.
30
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-30
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#HubleyZR03
2006-05-17
Performance of a genetic algorithm for mass spectrometry proteomics.
180
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-180
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Jeffries04
2006-05-17
A fast algorithm for determining the best combination of local alignments to a query sequence.
62
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-62
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ConantW04
2006-05-17
Extension of Lander-Waterman theory for sequencing filtered DNA libraries.
245
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-245
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WendlB05
2006-05-16
Genome wide identification of regulatory motifs in Bacillus subtilis.
18
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-18
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#MwangiS03
2006-05-18
Determination of strongly overlapping signaling activity from microarray data.
99
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-99
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BidautSCO06
2006-05-18
Identification of physicochemical selective pressure on protein encoding nucleotide sequences.
148
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-148
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#WongSN06
2006-05-17
maxdLoad2 and maxdBrowse: standards-compliant tools for microarray experimental annotation, data management and dissemination.
264
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-264
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HancockWVMHHWNKB05
2006-05-17
MUSCLE: a multiple sequence alignment method with reduced time and space complexity.
113
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-113
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Edgar04
2006-05-17
Phospho.ELM: A database of experimentally verified phosphorylation sites in eukaryotic proteins.
79
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-79
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#DiellaCGLVKSBG04
2006-05-17
DynGO: a tool for visualizing and mining of Gene Ontology and its associations.
201
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-201
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LiuHW05
2006-05-17
GeneNotes - A novel information management software for biologists.
20
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-20
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HongW05
2006-05-17
Tools enabling the elucidation of molecular pathways active in human disease: Application to Hepatitis C virus infection.
154
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-154
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ReissATSG05
2006-05-16
RIO: Analyzing proteomes by automated phylogenomics using resampled inference of orthologs.
14
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-14
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#ZmasekE02
2006-05-18
Detection of compound mode of action by computational integration of whole-genome measurements and genetic perturbations.
51
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-51
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#HallenBT06
2006-05-17
Identification of regions in multiple sequence alignments thermodynamically suitable for targeting by consensus oligonucleotides: application to HIV genome.
44
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-44
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#MatveevaFNGMAOS04
2006-05-17
Genomic multiple sequence alignments: refinement using a genetic algorithm.
200
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-200
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WangL05
2006-05-17
ErmineJ: Tool for functional analysis of gene expression data sets.
269
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-269
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LeeBKP05
2006-05-16
Efficient Boolean implementation of universal sequence maps (bUSM).
28
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-28
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#SchwackeA02
2006-05-18
Using co-occurrence network structure to extract synonymous gene and protein names from MEDLINE abstracts.
103
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-103
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#CohenHDS05
2006-05-16
Sources of variability and effect of experimental approach on expression profiling data interpretation.
4
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-4
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#BakayCBZNH02
2006-05-16
Domain analysis of the tubulin cofactor system: a model for tubulin folding and dimerization.
46
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-46
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#GrynbergJG03
2006-05-19
A reinforced merging methodology for mapping unique peptide motifs in members of protein families.
38
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-38
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChangPFSWTCLC06
2006-05-17
HMM Logos for visualization of protein families.
7
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-7
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Schuster-BocklerSR04
2006-05-17
Vector analysis as a fast and easy method to compare gene expression responses between different experimental backgrounds.
181
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-181
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BreitlingAA05
2006-05-22
Correction: Benchmarking tools for the alignment of functional noncodingDNA.
73
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-73
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#PollardBSCE04a
2006-05-18
RNAmute: RNA secondary structure mutation analysis tool.
221
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-221
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChurkinB06
2006-05-17
Quantifying the relationship between co-expression, co-regulation and gene function.
18
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-18
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#AlloccoKB04
2006-05-17
Comparing transformation methods for DNA microarray data.
77
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-77
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ThygesenZ04
2006-05-17
M-CGH: Analysing microarray-based CGH experiments.
74
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-74
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#WangMKM04
2006-05-16
PubMatrix: a tool for multiplex literature mining.
61
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-61
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#BeckerHDLBCE03
2006-05-17
SCOPmap: Automated assignment of protein structures to evolutionary superfamilies.
197
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-197
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CheekQKKG04
2006-05-17
Analysis of concordance of different haplotype block partitioning algorithms.
303
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-303
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#IndapMSTO05
2006-05-18
Metabolic pathways variability and sequence/networks comparisons.
24
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-24
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TunDPG06
2006-05-18
PDB-UF: database of predicted enzymatic functions for unannotated protein structures from structural genomics.
53
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-53
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#GrotthussPGRS06
2006-05-18
Prediction of protein continuum secondary structure with probabilistic models based on NMR solved structures.
68
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-68
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BodenYB06
2006-05-17
Implications for domain fusion protein-protein interactions based on structural information.
161
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-161
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ChiaK04
2006-05-17
Oligo kernels for datamining on biological sequences: a case study on prokaryotic translation initiation sites.
169
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-169
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#MeinickeTMM04
2006-05-17
Graph-representation of oxidative folding pathways.
19
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-19
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AgostonCKP05
2006-05-24
Determination of the differentially expressed genes in microarray experiments using local FDR.
125
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-125
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#AubertBDR04
2006-05-17
FIGENIX: Intelligent automation of genomic annotation: expertise integration in a new software platform.
198
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-198
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GouretVBGPD05
2006-05-17
Generalizations of Markov model to characterize biological sequences.
219
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-219
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WangH05
2006-05-16
RSEARCH: Finding homologs of single structured RNA sequences.
44
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-44
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#KleinE03
2006-05-18
<i>t</i>-test for microarray data.
126
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-126
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#FoxD06
2006-05-18
PhosphoregDB: The tissue and sub-cellular distribution of mammalian protein kinases and phosphatases.
82
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-82
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ForrestTFGFTSKKHG06
2006-08-24
Quality control for terms and definitions in ontologies and taxonomies.
212
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-212
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#KohlerMRSS06
2006-05-17
Evaluation of the suitability of free-energy minimization using nearest-neighbor energy parameters for RNA secondary structure prediction.
105
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-105
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#DoshiCCG04
2006-05-18
Application of a sensitive collection heuristic for very large protein families: Evolutionary relationship between adipose triglyceride lipase (ATGL) and classic mammalian lipases.
164
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-164
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SchneiderNWTBE06
2006-05-17
Bioinformatics analysis of SARS coronavirus genome polymorphism.
65
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-65
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Pavlovic-LazeticMB04
2006-05-31
arrayCGHbase: an analysis platform for comparative genomic hybridization microarrays.
124
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-124
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MentenPPRMBMPVVMMVSV05
2006-05-18
On single and multiple models of protein families for the detection of remote sequence relationships.
48
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-48
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#CasbonS06
2006-05-18
FastGroupII: A web-based bioinformatics platform for analyses of large 16S rDNA libraries.
57
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-57
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#YuBMR06
2006-05-18
Protein structure similarity from principle component correlation analysis.
40
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-40
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhouCW06
2006-05-18
Network-level analysis of metabolic regulation in the human red blood cell using random sampling and singular value decomposition.
132
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-132
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BarrettPP06
2006-05-18
An unsupervised classification scheme for improving predictions of prokaryotic TIS.
121
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-121
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TechM06
2006-05-18
Promoter prediction and annotation of microbial genomes based on DNA sequence and structural responses to superhelical stress.
248
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-248
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#WangB06
2006-05-18
Genetic algorithm learning as a robust approach to RNA editing site prediction.
145
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-145
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ThompsonG06
2006-05-17
A method for aligning RNA secondary structures and its application to RNA motif detection.
89
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-89
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LiuWHT05
2006-05-17
<i>Affymetrix</i>chips.
111
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-111
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#GautierMFK04
2006-05-17
Clustering protein sequences with a novel metric transformed from sequence similarity scores and sequence alignments with neural networks.
242
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-242
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MaCCSN05
2006-05-18
HotSwap for bioinformatics: A STRAP tutorial.
64
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-64
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#GilleR06
2006-05-18
A novel scoring schema for peptide identification by searching protein sequence databases using tandem mass spectrometry data.
222
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-222
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhangSZCLYBC06
2006-05-18
Taxonomic colouring of phylogenetic trees of protein sequences.
79
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-79
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PalidworRA06
2006-05-18
Integrative investigation of metabolic and transcriptomic data.
203
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-203
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PirKHOUO06
2006-05-17
Systematic determination of the mosaic structure of bacterial genomes: species backbone versus strain-specific loops.
171
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-171
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ChiapelloBSHGPK05
2006-05-17
DIALIGN P: Fast pair-wise and multiple sequence alignment using parallel processors.
128
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-128
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#SchmollingerNKM04
2006-05-17
Mistaken Identifiers: Gene name errors can be introduced inadvertently when using Excel in bioinformatics.
80
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-80
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ZeebergRKBULBW04
2006-05-18
Microarray image analysis: background estimation using quantile and morphological filters.
96
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-96
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BengtssonB06
2006-05-17
Modelling the correlation between the activities of adjacent genes in drosophila.
10
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-10
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ThygesenZ05
2006-05-16
Making sense of EST sequences by CLOBBing them.
31
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-31
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#ParkinsonGB02
2006-05-26
The effect of oligonucleotide microarray data pre-processing on the analysis of patient-cohort studies.
105
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-105
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#VerhaakSVLRR06
2006-05-17
Prediction of a common structural scaffold for proteasome lid, COP9-signalosome and eIF3 complexes.
71
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-71
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ScheelH05
2006-05-18
PPSP: prediction of PK-specific phosphorylation site with Bayesian decision theory.
163
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-163
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#XueLWFY06
2006-05-17
<i>Drosophila</i>genome: the fluffy-tail test.
109
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-109
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AbnizovaBWG05
2006-05-17
Artificial neural network approach for selection of susceptible single nucleotide polymorphisms and construction of prediction model on childhood allergic asthma.
120
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-120
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#TomitaTHSSKH04
2006-05-17
ProbeMaker: an extensible framework for design of sets of oligonucleotide probes.
229
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-229
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#StenbergNL05
2006-05-17
Micro-Mar: a database for dynamic representation of marine microbial biodiversity.
222
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-222
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PushkerDAR05
2006-05-17
An algorithm for the determination and quantification of components of nucleic acid mixtures based on single sequencing reactions.
281
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-281
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PozhitkovST05
2006-05-17
Genome-wide identification of the regulatory targets of a transcription factor using biochemical characterization and computational genomic analysis.
275
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-275
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#JollyCHL05
2006-05-18
A phylogenomic gene cluster resource: the Phylogenetically Inferred Groups (PhIGs) database.
201
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-201
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#DehalB06
2006-05-17
Visualization and analysis of microarray and gene ontology data with treemaps.
84
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-84
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BaehreckeDBS04
2006-05-17
A method of precise mRNA/DNA homology-based gene structure prediction.
261
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-261
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ChurbanovPQA05
2006-05-17
A computational approach for identifying pathogenicity islands in prokaryotic genomes.
184
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-184
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#YoonHKKOK05
2006-05-17
Correlation and prediction of gene expression level from amino acid and dipeptide composition of its protein.
59
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-59
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#RaghavaH05
2006-05-17
Gene finding in novel genomes.
59
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-59
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Korf04
2006-05-17
AVID: An integrative framework for discovering functional relationships among proteins.
136
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-136
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#JiangK05
2006-05-16
<i>in silico</i><i>Escherichia coli</i>K-12 gene deletions.
1
2000
1
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-1-1
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi1.html#EdwardsP00
2006-05-17
Integrative analysis of multiple gene expression profiles with quality-adjusted effect size models.
128
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-128
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HuGB05
2006-05-16
Clustering of the SOM easily reveals distinct gene expression patterns: results of a reanalysis of lymphoma study.
36
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-36
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#WangDASM02
2006-05-17
SIGI: score-based identification of genomic islands.
22
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-22
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Merkl04
2006-05-17
<i>Drosophila melanogaster</i>exons in splicing graph form.
189
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-189
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LeeTR04
2006-05-17
Feature selection and classification for microarray data analysis: Evolutionary methods for identifying predictive genes.
148
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-148
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Jirapech-UmpaiA05
2006-05-18
A high level interface to SCOP and ASTRAL implemented in Python.
10
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-10
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#CasbonCS06
2006-05-17
ProGenExpress: Visualization of quantitative data on prokaryotic genomes.
98
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-98
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Watson05
2006-05-16
<i>cis</i>-regulatory elements and transcription factors.
25
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-25
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#DavuluriSPMMKG03
2006-05-16
Simulation of DNA array hybridization experiments and evaluation of critical parameters during subsequent image and data analysis.
29
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-29
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#WierlingSESACLH02
2006-05-17
<i>Escherichia coli</i>transcriptional regulatory network revealed by a new top-down approach.
199
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-199
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#MaBZ04
2006-05-17
PSE: A tool for browsing a large amount of MEDLINE/PubMed abstracts with gene names and common words as the keywords.
295
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-295
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Yoneya05
2006-05-17
Evaluating eukaryotic secreted protein prediction.
256
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-256
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KleeE05
2006-05-18
Prediction of protein structural class with Rough Sets.
20
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-20
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#CaoLZQWT06
2006-05-17
ABC: software for interactive browsing of genomic multiple sequence alignment data.
192
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-192
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CooperSS04
2006-05-18
Gene annotation and network inference by phylogenetic profiling.
80
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-80
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#WuHD06
2006-05-17
Towards precise classification of cancers based on robust gene functional expression profiles.
58
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-58
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GuoZLWXYZWWTWR05
2006-05-17
GOTree Machine (GOTM): a web-based platform for interpreting sets of interesting genes using Gene Ontology hierarchies.
16
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-16
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ZhangSKS04
2006-05-17
<sup>(r)</sup>platforms for the optimization of the assessment of expression patterns in non human primate (NHP) samples.
165
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-165
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#WangLNAV04
2006-05-17
Global features of sequences of bacterial chromosomes, plasmids and phages revealed by analysis of oligonucleotide usage patterns.
90
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-90
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#RevaT04
2006-05-17
<i>alpha</i>space.
159
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-159
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BoomsmaH05
2006-05-16
Prediction of MHC class I binding peptides, using SVMHC.
25
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-25
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#DonnesE02
2006-05-18
GENOMEMASKER package for designing unique genomic PCR primers.
172
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-172
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#AndresonRKR06
2006-05-17
<i>Drosophila</i>species.
158
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-158
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#StenbergPSBL05
2006-05-17
A hybrid clustering approach to recognition of protein families in 114 microbial genomes.
45
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-45
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#HarlowGR04
2006-05-22
Ab initio identification of putative human transcription factor binding sites by comparative genomics.
110
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-110
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#CoraHDCPC05
2006-05-17
Esub8: A novel tool to predict protein subcellular localizations in eukaryotic organisms.
66
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-66
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CuiJLM04
2006-05-17
Multiple sequence alignment accuracy and evolutionary distance estimation.
278
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-278
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Rosenberg05a
2006-05-17
Efficient decoding algorithms for generalized hidden Markov model gene finders.
16
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-16
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MajorosPDS05
2006-05-18
GenoLink: a graph-based querying and browsing system for investigating the function of genes and proteins.
21
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-21
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#DurandLMDVVW06
2006-05-17
DIALIGN-T: An improved algorithm for segment-based multiple sequence alignment.
66
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-66
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SubramanianWKM05
2006-05-18
Djinn Lite: a tool for customised gene transcript modelling, annotation-data enrichment and exploration.
33
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-33
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TeberCBDSKC06
2006-05-17
A decoy set for the thermostable subdomain from chicken villin headpiece, comparison of different free energy estimators.
301
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-301
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FogolariTC05
2006-05-17
SNP-VISTA: An interactive SNP visualization tool.
292
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-292
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ShahTMPHHD05
2006-05-17
Applying Support Vector Machines for Gene ontology based gene function prediction.
116
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-116
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#VinayagamKMSEGS04
2006-05-18
Algorithms for incorporating prior topological information in HMMs: application to transmembrane proteins.
189
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-189
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BagosLH06
2006-05-18
Detection of non-coding RNAs on the basis of predicted secondary structure formation free energy change.
173
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-173
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#UzilovKM06
2006-05-16
Tumor classification and marker gene prediction by feature selection and fuzzy c-means clustering using microarray data.
60
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-60
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#WangBJMH03
2006-05-16
Identifying functional relationships among human genes by systematic analysis of biological literature.
16
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-16
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#TaoL02
2006-05-17
Oligomeric protein structure networks: insights into protein-protein interactions.
296
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-296
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BrindaV05
2006-05-17
Using 3D Hidden Markov Models that explicitly represent spatial coordinates to model and compare protein structures.
2
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-2
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#AlexandrovG04
2006-05-16
The PAM domain, a multi-protein complex-associated module with an all-alpha-helix fold.
64
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-64
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#CiccarelliIB03
2006-05-17
Protein subcellular localization prediction for Gram-negative bacteria using amino acid subalphabets and a combination of multiple support vector machines.
174
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-174
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WangSKL05
2006-05-17
MPrime: efficient large scale multiple primer and oligonucleotide design for customized gene microarrays.
175
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-175
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#RouchkaKC05
2006-05-17
cuticleDB: a relational database of Arthropod cuticular proteins.
138
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-138
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#MagkriotiSIWH04
2006-05-17
MILANO - custom annotation of microarray results using automatic literature searches.
12
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-12
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#RubinsteinS05
2006-05-29
IMGT/GeneInfo: T cell receptor gamma TRG and delta TRD genes in database give access to all TR potential V(D)J recombinations.
224
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-224
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BaumHPBCLJMD06
2006-05-17
The Molecular Pages of the mesotelencephalic dopamine consortium (DopaNet).
174
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-174
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#NovereD04
2006-05-17
PAGE: Parametric Analysis of Gene Set Enrichment.
144
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-144
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KimV05
2006-05-17
Bayesian coestimation of phylogeny and sequence alignment.
83
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-83
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LunterMDJH05
2006-05-17
Pegasys: software for executing and integrating analyses of biological sequences.
40
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-40
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ShahHSDQLZXO04
2006-05-18
<sup>TM</sup>: Java software for Bayesian analysis of variance for microarray data.
59
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-59
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#IshwaranRK06
2006-05-17
A protein domain interaction interface database: InterPare.
207
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-207
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GongPCKJLBOKB05
2006-05-17
InterferenceAnalyzer: Tools for the analysis and simulation of multi-locus genetic data.
297
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-297
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ViswanathH05
2006-05-17
MBEToolbox: a Matlab toolbox for sequence data analysis in molecular biology and evolution.
64
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-64
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#CaiSXY05
2006-05-18
CoSMoS: Conserved Sequence Motif Search in the proteome.
37
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-37
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#LiuKJL06
2006-05-18
Evaluation of sequence alignments and oligonucleotide probes with respect to three-dimensional structure of ribosomal RNA using ARB software package.
240
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-240
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#KumarWKML06
2006-05-18
An application of statistics to comparative metagenomics.
162
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-162
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#Rodriguez-BritoRE06
2006-05-16
OXBench: A benchmark for evaluation of protein multiple sequence alignment accuracy.
47
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-47
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#RaghavaSABB03
2006-05-17
Hybrid clustering for microarray image analysis combining intensity and shape features.
47
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-47
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#RahnenfuhrerB04
2006-05-18
Local protein structure prediction using discriminative models.
14
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-14
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SanderSL06
2006-05-16
ORFer - retrieval of protein sequences and open reading frames from GenBank and storage into relational databases or text files.
40
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-40
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#BussowHS02
2006-05-16
Genomic data sampling and its effect on classification performance assessment.
5
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-5
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#Azuaje03
2006-05-17
'PACLIMS': A component LIM system for high-throughput functional genomic analysis.
94
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-94
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#DonofrioRBDWNFMGTOPFPSLD05
2006-05-16
<i>Pseudomonas</i>using mismatched patterns of 16S rDNA sequences.
19
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-19
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#PurohitRK03
2006-05-18
A quantitative analysis of secondary RNA structure using domination based parameters on trees.
108
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-108
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#HaynesKSZ06
2006-05-18
Statistical analysis of real-time PCR data.
85
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-85
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#YuanRCS06
2006-05-18
Algebraic comparison of metabolic networks, phylogenetic inference, and metabolic innovation.
67
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-67
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ForstFHS06
2006-05-17
Genome SEGE: A database for 'intronless' genes in eukaryotic genomes.
67
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-67
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#SakharkarK04
2006-05-17
Information assessment on predicting protein-protein interactions.
154
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-154
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LinWJGZ04
2006-05-18
A multivariate prediction model for microarray cross-hybridization.
101
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-101
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChenCLSPXVA06
2006-05-18
Similarity-based gene detection: using COGs to find evolutionarily-conserved ORFs.
31
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-31
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PowellH06
2006-05-18
Predicting survival outcomes using subsets of significant genes in prognostic marker studies with microarrays.
156
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-156
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#Matsui06
2006-05-17
Considerations when using the significance analysis of microarrays (SAM) algorithm.
129
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-129
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LarssonWT05
2006-05-17
SeqX: a tool to detect, analyze and visualize residue co-locations in protein and nucleic acid structures.
170
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-170
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BiroF05
2006-05-17
Analysis of superfamily specific profile-profile recognition accuracy.
200
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-200
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CasbonS04
2006-05-17
Graphical representation of ribosomal RNA probe accessibility data using ARB software package.
61
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-61
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KumarWBFGAML05
2006-05-17
Measuring similarities between transcription factor binding sites.
237
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-237
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KielbasaGH05
2006-05-17
Signal transduction pathway profiling of individual tumor samples.
163
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-163
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BreslinKPT05
2006-05-17
<i>in silico</i>metabolic engineering.
308
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-308
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PatilRFN05
2006-05-18
Automatic discovery of cross-family sequence features associated with protein function.
16
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-16
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BrameierHKM06
2006-05-18
Finding biological process modifications in cancer tissues by mining gene expression correlations.
6
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-6
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#GamberoniSV06
2006-05-18
On the attenuation and amplification of molecular noise in genetic regulatory networks.
52
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-52
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChenW06
2006-05-19
A domain-oriented approach to the reduction of combinatorial complexity in signal transduction networks.
34
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-34
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ConzelmannSSKG06
2006-05-17
Differentiation of regions with atypical oligonucleotide composition in bacterial genomes.
251
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-251
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#RevaT05
2006-05-16
Cross-platform comparison and visualisation of gene expression data using co-inertia analysis.
59
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-59
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#CulhanePH03
2006-05-18
Bio++: a set of C++ libraries for sequence analysis, phylogenetics, molecular evolution and population genetics.
188
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-188
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#DutheilGBGRGB06
2006-05-17
Comparison of computational methods for identifying translation initiation sites in EST data.
14
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-14
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#NadershahiFE04
2006-05-16
Modeling gene expression measurement error: a quasi-likelihood approach.
10
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-10
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#Strimmer03
2006-05-18
Phylophenetic properties of metabolic pathway topologies as revealed by global analysis.
252
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-252
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhangLSZZZSLSC06
2006-06-12
A novel Mixture Model Method for identification of differentially expressed genes from DNA microarray data.
201
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-201
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#NajarianZRND04
2006-05-18
GOPET: A tool for automated predictions of Gene Ontology terms.
161
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-161
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#VinayagamVSEGSK06
2006-05-18
Amplification of the Gene Ontology annotation of Affymetrix probe sets.
159
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-159
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#MuroPA06
2006-05-17
Phylogenetic detection of conserved gene clusters in microbial genomes.
243
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-243
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ZhengARK05
2006-05-17
GeneKeyDB: A lightweight, gene-centric, relational database to support data mining environments.
72
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-72
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KirovPBSZS05
2006-05-18
Prediction of indirect interactions in proteins.
167
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-167
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PrusisUPLW06
2006-05-18
BIOZON: a system for unification, management and analysis of heterogeneous biological data.
70
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-70
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BirklandY06
2006-05-16
Sex genes for genomic analysis in human brain: internal controls for comparison of probe level data extraction.
37
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-37
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#GalfalvyESPEMSA03
2006-05-18
Gene functional similarity search tool (GFSST).
135
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-135
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhangZSROB06
2006-05-16
Homology Induction: the use of machine learning to improve sequence similarity searches.
11
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-11
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#KarwathK02
2006-05-18
Automatic pathway building in biological association networks.
171
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-171
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#YuryevMKMENDM06
2006-05-17
A Hidden Markov Model method, capable of predicting and discriminating beta-barrel outer membrane proteins.
29
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-29
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BagosLSH04
2006-05-17
A comprehensive comparison of comparative RNA structure prediction approaches.
140
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-140
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#GardnerG04
2006-05-18
Assessment of the relationship between pre-chip and post-chip quality measures for Affymetrix GeneChip expression data.
211
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-211
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#JonesGHSCDKAOAFLMH06
2006-05-18
Correction of scaling mismatches in oligonucleotide microarray data.
251
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-251
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BarencoSBTCH06
2006-05-17
Better prediction of protein contact number using a support vector regression analysis of amino acid sequence.
248
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-248
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Yuan05
2006-05-17
PhyME: A probabilistic algorithm for finding motifs in sets of orthologous sequences.
170
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-170
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#SinhaBT04
2006-05-17
GASP: Gapped Ancestral Sequence Prediction for proteins.
123
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-123
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#EdwardsS04
2006-05-16
SeqVISTA: a graphical tool for sequence feature visualization and comparison.
1
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-1
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#HuFNW03
2006-05-17
MuTrack: a genome analysis system for large-scale mutagenesis in the mouse.
11
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-11
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BakerGJSS04
2006-07-12
Comparative mapping of sequence-based and structure-based protein domains.
77
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-77
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ZhangCDH05
2006-05-17
The Hotdog fold: wrapping up a superfamily of thioesterases and dehydratases.
109
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-109
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#DillonB04
2006-05-19
AtRTPrimer: database for Arabidopsis genome-wide homogeneous and specific RT-PCR primer-pairs.
179
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-179
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#HanK06
2006-05-17
A linear memory algorithm for Baum-Welch training.
231
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-231
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MiklosM05
2006-05-17
GATA: a graphic alignment tool for comparative sequence analysis.
9
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-9
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#NixE05
2006-05-17
SS-Wrapper: a package of wrapper applications for similarity searches on Linux clusters.
171
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-171
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#WangL04
2006-05-16
Genome-wide prediction, display and refinement of binding sites with information theory-based models.
38
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-38
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#GadirajuVLR03
2006-05-17
Use of a structural alphabet for analysis of short loops connecting repetitive structures.
58
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-58
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#FourrierBB04
2006-05-17
Contextual weighting for Support Vector Machines in literature mining: an application to gene versus protein name disambiguation.
157
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-157
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PahikkalaGBJS05
2006-05-17
transAlign: using amino acids to facilitate the multiple alignment of protein-coding DNA sequences.
156
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-156
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Bininda-Emonds05
2006-05-17
Transformation and other factors of the peptide mass spectrometry pairwise peak-list comparison process.
285
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-285
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WolskiLMHGGSLR05
2006-05-17
A computational approach for ordering signal transduction pathway components from genomics and proteomics Data.
158
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-158
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LiuZ04
2006-05-17
Identitag, a relational database for SAGE tag identification and interspecies comparison of SAGE libraries.
143
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-143
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#KeimeDMDG04
2006-05-18
JLIN: A java based linkage disequilibrium plotter.
60
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-60
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#CarterMP06
2006-05-17
Building a protein name dictionary from full text: a machine learning term extraction approach.
88
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-88
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ShiC05
2006-05-18
Optimizing amino acid substitution matrices with a local alignment kernel.
246
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-246
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SaigoVA06
2006-05-17
Empirical codon substitution matrix.
134
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-134
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SchneiderCG05
2006-05-18
GenClust: A genetic algorithm for clustering gene expression data.
289
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-289
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GesuGBRS05
2006-05-19
Recursive SVM feature selection and sample classification for mass-spectrometry and microarray data.
197
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-197
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhangLSXLHIMLW06
2006-05-17
What can we learn from noncoding regions of similarity between genomes?
131
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-131
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#DownH04
2006-05-16
Total sequence decomposition distinguishes functional modules, "molegos" in apurinic/apyrimidinic endonucleases.
37
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-37
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#ScheinOIB02
2006-05-18
OligoSpawn: a software tool for the design of overgo probes from large unigene datasets.
7
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-7
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhengSMCJL06
2006-05-17
Clustering under the line graph transformation: application to reaction network.
207
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-207
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#NacherUYKA04
2006-05-17
Comparing functional annotation analyses with Catmap.
193
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-193
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BreslinEK04
2006-05-17
Identification of novel prognostic markers in cervical intraepithelial neoplasia using LDMAS (LOH Data Management and Analysis Software).
18
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-18
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HamoudiED05
2006-05-17
Filtering high-throughput protein-protein interaction data using a combination of genomic features.
100
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-100
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PatilN05
2006-05-17
Evaluation of gene importance in microarray data based upon probability of selection.
67
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-67
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FuF05
2006-05-17
DCD - a novel plant specific domain in proteins involved in development and programmed cell death.
169
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-169
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#TenhakenDB05
2006-05-17
Tandem machine learning for the identification of genes regulated by transcription factors.
204
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-204
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#DinakarpandianRMSR05
2006-05-17
T.I.M.S: TaqMan Information Management System, tools to organize data flow in a genotyping laboratory.
246
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-246
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MonnierCAC05
2006-05-18
Quantitative prediction of mouse class I MHC peptide binding affinity using support vector machine regression (SVR) models.
182
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-182
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#LiuMXFL06
2006-05-17
Protein family comparison using statistical models and predicted structural information.
183
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-183
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ChungY04
2006-05-19
An improved distance measure between the expression profiles linking co-expression and co-regulation in mouse.
44
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-44
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#KimJW06
2006-05-18
Optimized Particle Swarm Optimization (OPSO) and its application to artificial neural network training.
125
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-125
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#MeissnerSS06
2006-05-17
Discover protein sequence signatures from protein-protein interaction data.
277
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-277
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FangHDL05
2006-05-17
HDBStat!: A platform-independent software suite for statistical analysis of high dimensional biology data.
86
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-86
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#TrivediEWGSZKMBPPA05
2006-05-18
Empirical validation of the S-Score algorithm in the analysis of gene expression data.
154
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-154
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#KennedyAM06
2006-05-16
The Comparative RNA Web (CRW) Site: an online database of comparative sequence and structure information for ribosomal, intron, and other RNAs: Correction.
15
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-15
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#CannoneSSCDDFLMMPSYG02a
2006-05-17
Large scale hierarchical clustering of protein sequences.
15
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-15
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KrauseSV05
2006-05-17
Extractor for ESI quadrupole TOF tandem MS data enabled for high throughput batch processing.
162
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-162
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BoehmGS04
2006-05-17
DSD - An integrated, web-accessible database of Dehydrogenase Enzyme Stereospecificities.
283
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-283
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ToselandMF05
2006-05-17
CGMIM: Automated text-mining of Online Mendelian Inheritance in Man (OMIM) to identify genetically-associated cancers and candidate genes.
78
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-78
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BajdikKRJB05
2006-05-17
Selecting additional tag SNPs for tolerating missing data in genotyping.
263
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-263
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HuangZCC05
2006-05-17
GObar: A Gene Ontology based analysis and visualization tool for gene sets.
189
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-189
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LeeKS05
2006-05-17
GANN: Genetic algorithm neural networks for the detection of conserved combinations of features in DNA.
36
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-36
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BeikoC05
2006-05-17
Thesaurus-based disambiguation of gene symbols.
149
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-149
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SchijvenaarsMWSMWK05
2006-05-17
Vestige: Maximum likelihood phylogenetic footprinting.
130
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-130
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WakefieldMH05
2006-05-16
Statistical implications of pooling RNA samples for microarray experiments.
26
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-26
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#PengWBCLS03
2006-05-18
<i>Drosophila</i>.
195
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-195
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#PacificoLGPFF06
2006-05-18
Meta-analysis discovery of tissue-specific DNA sequence motifs from mammalian gene expression data.
229
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-229
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#HuberB06
2006-05-17
Cross-platform analysis of cancer microarray data improves gene expression based classification of phenotypes.
265
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-265
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WarnatEB05
2006-05-18
Identification and evolutionary analysis of novel exons and alternative splicing events using cross-species EST-to-genome comparisons in human, mouse and rat.
136
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-136
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChenCHC06
2006-05-16
Universal sequence map (USM) of arbitrary discrete sequences.
6
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-6
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#AlmeidaV02
2006-05-18
SNA - a toolbox for the stoichiometric analysis of metabolic networks.
129
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-129
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#Urbanczik06
2006-05-17
A combinational feature selection and ensemble neural network method for classification of gene expression data.
136
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-136
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LiuCJM04
2006-05-17
Unbiased descriptor and parameter selection confirms the potential of proteochemometric modelling.
50
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-50
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FreyhultPLWMG05
2006-05-17
PSSM-based prediction of DNA binding sites in proteins.
33
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-33
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AhmadS05
2006-05-17
<i>Auto</i><i>F</i><i>A</i><i>C</i><i>T</i>ool.
151
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-151
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KoskiGLB05
2006-05-17
MARS: Microarray analysis, retrieval, and storage system.
101
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-101
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MaurerMSHHSPST05
2006-05-18
Improved variance estimation of classification performance via reduction of bias caused by small sample size.
127
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-127
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#Wickenberg-BolinGFGI06
2006-05-19
Statistical modeling of biomedical corpora: mining the Caenorhabditis Genetic Center Bibliography for genes related to life span.
250
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-250
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BleiFJM06
2006-05-17
Analysis of oligonucleotide array experiments with repeated measures using mixed models.
209
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-209
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LiWGGS04
2006-05-17
Profiled support vector machines for antisense oligonucleotide efficacy prediction.
135
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-135
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Camps-VallsCSMS04
2006-05-17
Benchmarking tools for the alignment of functional noncoding DNA.
6
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-6
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#PollardBSCE04
2006-05-17
Probabilistic annotation of protein sequences based on functional classifications.
302
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-302
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LevyOGA05
2006-05-17
STING Millennium Suite: integrated software for extensive analyses of 3d structures of proteins and their complexes.
107
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-107
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#HigaTMPOKYMN04
2006-05-18
AltTrans: Transcript pattern variants annotated for both alternative splicing and alternative polyadenylation.
169
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-169
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TexierRKGLGT06
2006-05-18
Missing value estimation for DNA microarray gene expression data by Support Vector Regression imputation and orthogonal coding scheme.
32
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-32
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#WangLJF06
2006-05-18
The statistics of identifying differentially expressed genes in Expresso and TM4: a comparison.
215
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-215
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SiosonMLSHBG06
2006-05-16
Optimal Step Length EM Algorithm (OSLEM) for the estimation of haplotype frequency and its application in lipoprotein lipase genotyping.
3
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-3
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#ZhangSMG03
2006-05-18
Effects of filtering by Present call on analysis of microarray experiments.
49
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-49
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#McClintickE06
2006-05-18
Mining gene expression data by interpreting principal components.
194
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-194
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#RodenKTMWH06
2006-05-18
CARAT: A novel method for allelic detection of DNA copy number changes using high density oligonucleotide arrays.
83
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-83
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#HuangWCZLDMIAJS06
2006-05-18
Discovering semantic features in the literature: a foundation for building functional associations.
41
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-41
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ChagoyenCSCP06
2006-05-17
<i>SplitTester</i>: software to identify domains responsible for functional divergence in protein family.
137
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-137
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GaoVVG05
2006-05-17
Ranking the whole MEDLINE database according to a large training set using text indexing.
75
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-75
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SuomelaA05
2006-05-17
B.E.A.R. GeneInfo: A tool for identifying gene-related biomedical publications through user modifiable queries.
46
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-46
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ZhouWLSHTD04
2006-05-18
GEM System: automatic prototyping of cell-wide metabolic pathway models from genomes.
168
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-168
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ArakawaYSNT06
2006-05-17
<i>EXPANDER</i>- an integrative program suite for microarray data analysis.
232
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-232
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ShamirMTLSSSE05
2006-05-16
A data review and re-assessment of ovarian cancer serum proteomic profiling.
24
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-24
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#SoraceZ03
2006-05-17
Caryoscope: An Open Source Java application for viewing microarray data in a genomic context.
151
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-151
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#AwadRHBS04
2006-05-17
An application of conditional logistic regression and multifactor dimensionality reduction for detecting gene-gene Interactions on risk of myocardial infarction: The importance of model validation.
49
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-49
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CoffeyHRKGRBVM04
2006-05-16
Relationship of SARS-CoV to other pathogenic RNA viruses explored by tetranucleotide usage profiling.
43
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-43
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#YapZD03
2006-05-17
Correlation test to assess low-level processing of high-density oligonucleotide microarray data.
80
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-80
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#PlonerMHBP05
2006-05-17
Genomes are covered with ubiquitous 11 bp periodic patterns, the "class A flexible patterns".
206
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-206
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LarsabalD05
2006-05-17
Pathway level analysis of gene expression using singular value decomposition.
225
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-225
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#TomfohrLK05
2006-05-17
Reuse of imputed data in microarray analysis increases imputation efficiency.
160
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-160
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#KimKY04
2006-05-16
The functional importance of disease-associated mutation.
24
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-24
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#MooneyK02
2006-05-18
QPath: a method for querying pathways in a protein-protein interaction network.
199
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-199
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ShlomiSRS06
2006-05-17
MiCoViTo: a tool for gene-centric comparison and visualization of yeast transcriptome states.
20
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-20
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LelandaisMVJV04
2006-05-17
The yeast kinome displays scale free topology with functional hub clusters.
271
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-271
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LeeM05
2006-05-17
Visualization methods for statistical analysis of microarray clusters.
115
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-115
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HibbsDLT05
2006-05-17
Visualization-based discovery and analysis of genomic aberrations in microarray data.
146
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-146
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MyersCT05
2006-05-18
<i>cis</i><i>trans</i>isomerization in proteins using PSI-BLAST profiles and secondary structure information.
124
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-124
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SongBYH06
2006-05-18
Gene selection algorithms for microarray data based on least squares support vector machine.
95
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-95
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TangSY06
2006-05-17
SeeGH - A software tool for visualization of whole genome array comparative genomic hybridization data.
13
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-13
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ChidCML04
2006-05-16
Match-Only Integral Distribution (MOID) Algorithm for high-density oligonucleotide array analysis.
3
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-3
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#ZhouA02
2006-05-17
GeneViTo: Visualizing gene-product functional and structural features in genomic datasets.
53
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-53
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#VernikosGPH03
2006-05-16
The limit fold change model: A practical approach for selecting differentially expressed genes from microarray data.
17
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-17
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#MutchBMRR02
2006-05-18
SCOWLP: a web-based database for detailed characterization and visualization of protein interfaces.
104
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-104
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#TeyraDSP06
2006-05-17
Species-specific analysis of protein sequence motifs using mutual information.
164
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-164
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HummelKWS05
2006-05-17
PALSSE: A program to delineate linear secondary structural elements from protein structures.
202
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-202
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MajumdarKG05
2006-05-17
Robust detection of periodic time series measured from biological systems.
117
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-117
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AhdesmakiLPHY05
2006-05-17
Overdispersed logistic regression for SAGE: Modelling multiple groups and covariates.
144
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-144
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BaggerlyDMA04
2006-05-17
BMC Bioinformatics comes of age.
140
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-140
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#Cockerill05
2006-05-17
PHACCS, an online tool for estimating the structure and diversity of uncultured viral communities using metagenomic information.
41
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-41
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AnglyRBMBSFNMR05
2006-05-17
SUPERFICIAL - Surface mapping of proteins via structure-based peptide library design.
223
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-223
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GoedeJP05
2006-05-17
Noise filtering and nonparametric analysis of microarray data underscores discriminating markers of oral, prostate, lung, ovarian and breast cancer.
185
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-185
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ArisCCDSRT04
2006-05-18
PathSys: integrating molecular interaction graphs for systems biology.
55
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-55
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BaitalukQGRRG06
2006-05-17
An approach to large scale identification of non-obvious structural similarities between proteins.
61
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-61
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CherkasovJ04a
2006-05-17
The effects of normalization on the correlation structure of microarray data.
120
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-120
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#QiuBKY05
2006-05-17
Computational identification of strain-, species- and genus-specific proteins.
279
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-279
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MazumderNMTW05
2006-05-17
Prediction of beta-barrel membrane proteins by searching for restricted domains.
254
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-254
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MirusS05
2006-05-17
RAG: RNA-As-Graphs web resource.
88
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-88
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#FeraKSZLGS04
2006-05-18
SNPs3D: Candidate gene and SNP selection for association studies.
166
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-166
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#YueMM06
2006-05-16
Neural network analysis of lymphoma microarray data: prognosis and diagnosis near-perfect.
13
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-13
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#ONeillS03
2006-05-17
Integrated web service for improving alignment quality based on segments comparison.
98
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-98
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#PlewczynskiRYJG04
2006-05-16
Domain fusion analysis by applying relational algebra to protein sequence and domain databases.
16
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-16
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#TruongI03
2006-05-17
Automatic detection of false annotations via binary property clustering.
46
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-46
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KaplanL05
2006-05-17
Discovery of protein-protein interactions using a combination of linguistic, statistical and graphical information.
143
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-143
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#CooperK05
2006-05-16
MatGAT: An application that generates similarity/identity matrices using protein or DNA sequences.
29
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-29
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#CampanellaBS03
2006-05-18
MIDAS: software for analysis and visualisation of interallelic disequilibrium between multiallelic markers.
227
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-227
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#GauntRZD06
2006-05-17
A stepwise framework for the normalization of array CGH data.
274
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-274
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#KhojastehLWM05
2006-05-17
Atlas - a data warehouse for integrative bioinformatics.
34
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-34
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ShahHXYLO05
2006-05-17
<i>in silico</i>prediction of PCR products with whole genomic sequences as templates.
190
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-190
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#CaoWXKZWHWZ05
2006-05-17
Differences in codon bias cannot explain differences in translational power among microbes.
3
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-3
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#DethlefsenS05
2006-05-16
<i>N</i>7 cap methyltransferases: identification of novel members of the family, evolutionary analysis, homology modeling, and analysis of sequence-structure-function relationships.
2
2001
2
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-2-2
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi2.html#BujnickiFRR01
2006-05-16
The Distributed Annotation System.
7
2001
2
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-2-7
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi2.html#DowellJDES01
2006-05-17
PASS2: an automated database of protein alignments organised as structural superfamilies.
35
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-35
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BhaduriPS04
2006-05-18
Correction: Determination of the differentially expressed genes in microarray experiments using local FDR.
42
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-42
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#AubertBDR05
2006-05-17
Systematic survey reveals general applicability of "guilt-by-association" within gene coexpression networks.
227
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-227
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#WolfeKB05
2006-05-16
CoreGenes: A computational tool for identifying and cataloging "core" genes in a set of small genomes.
12
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-12
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#ZafarMS02
2006-05-16
Re-annotation of genome microbial CoDing-Sequences: finding new genes and inaccurately annotated genes.
5
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-5
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#BocsDM02
2006-05-17
Quantitative inference of dynamic regulatory pathways via microarray data.
44
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-44
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#ChangLC05
2006-05-17
Target SNP selection in complex disease association studies.
92
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-92
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#Wjst04
2006-05-17
CLOE: Identification of putative functional relationships among genes by comparison of expression profiles between two species.
179
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-179
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#PellegrinoPSC04
2006-05-18
Classification of protein quaternary structure by functional domain composition.
187
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-187
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#YuWL06
2006-05-18
An approach for clustering gene expression data with error information.
17
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-17
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#Tjaden06
2006-05-18
Protein protein interactions, evolutionary rate, abundance and age.
128
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-128
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#SaeedD06
2006-05-17
GASH: An improved algorithm for maximizing the number of equivalent residues between two protein structures.
221
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-221
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#StandleyTN05
2006-05-16
Significance analysis of lexical bias in microarray data.
12
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-12
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#KimF03
2006-05-18
Methodological study of affine transformations of gene expression data with proposed robust non-parametric multi-dimensional normalization method.
100
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-100
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#BengtssonH06
2006-05-18
Identification of gene expression patterns using planned linear contrasts.
245
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-245
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#LiWLGGS06
2006-05-17
Identifying spatially similar gene expression patterns in early stage fruit fly embryo images: binary feature versus invariant moment digital representations.
202
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-202
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#GurunathanEPK04
2006-05-17
Automated generation of heuristics for biological sequence comparison.
31
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-31
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SlaterB05
2006-05-16
GapCoder automates the use of indel characters in phylogenetic analysis.
6
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-6
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#YoungH03
2006-05-18
Metabolomic database annotations viaquery of elemental compositions: Mass accuracy is insufficient even at less than 1 ppm.
234
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-234
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#KindF06
2006-05-18
NOXclass: prediction of protein-protein interaction types.
27
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-27
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#ZhuDSL06
2006-05-17
mlstdbNet - distributed multi-locus sequence typing (MLST) databases.
86
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-86
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#JolleyCM04
2006-05-17
<i>Arabidopsis thaliana</i><i>Oryza sativa</i>genomes.
6
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-6
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#BridgesFM05
2006-05-16
<i>Drosophila cis</i>-regulatory modules using exhaustive assessment of local word frequency.
65
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-65
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#NazinaP03
2006-05-17
Cancer characterization and feature set extraction by discriminative margin clustering.
21
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-21
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#MunagalaTB04
2006-05-17
Handling multiple testing while interpreting microarrays with the Gene Ontology Database.
124
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-124
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#OsierZC04
2006-05-16
Species-specific protein sequence and fold optimizations.
39
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-39
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#DumontierMH02
2006-05-17
An empirical analysis of training protocols for probabilistic gene finders.
193
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-193
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#MajorosS05
2006-05-17
Predicting co-complexed protein pairs using genomic and proteomic data integration.
38
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-38
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ZhangWKR04
2006-05-17
Wildfire: distributed, Grid-enabled workflow construction and execution.
69
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-69
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#TangCHLIK05
2006-05-18
Phase analysis of circadian-related genes in two tissues.
87
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-87
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#LiuPLW06
2006-05-18
A joint model for nonparametric functional mapping of longitudinal trajectory and time-to-event.
138
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-138
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#LinW06
2006-05-17
Allermatch(tm), a webtool for the prediction of potential allergenicity according to current FAO/WHO Codex alimentarius guidelines.
133
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-133
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#FiersKNNH04
2006-05-17
Multiclass discovery in array data.
70
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-70
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#LiuR04
2006-05-17
Comparative analysis of chromatin landscape in regulatory regions of human housekeeping and tissue specific genes.
126
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-126
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GanapathiSSKDSBB05
2006-05-17
XHM: A system for detection of potential cross hybridizations in DNA microarrays.
117
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-117
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#FlikkaYLJ04
2006-11-05
Scoring functions for transcription factor binding site prediction.
84
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-84
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FribergRG05
2006-05-16
SeqHound: biological sequence and structure database as a platform for bioinformatics research.
32
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-32
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#MichalickovaBDLBIH02
2006-05-17
WEBnm@: a web application for normal mode analyses of proteins.
52
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-52
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#HollupSR05
2006-05-17
Graph-based iterative Group Analysis enhances microarray interpretation.
100
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-100
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#BreitlingAH04a
2006-05-17
PyEvolve: a toolkit for statistical modelling of molecular evolution.
1
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-1
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#ButterfieldVLLWIH04
2006-05-16
Development and production of an oligonucleotide MuscleChip: use for validation of ambiguous ESTs.
33
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-33
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#BorupTCTLVH02
2006-05-17
Quadratic regression analysis for gene discovery and pattern recognition for non-cyclic short time-course microarray experiments.
106
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-106
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#LiuTBGGS05
2006-05-17
Modeling the emergence of multi-protein dynamic structures by principles of self-organization through the use of 3DSpi, a multi-agent-based software.
228
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-228
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#SoulaRPGBG05
2006-05-17
Structural characterization of genomes by large scale sequence-structure threading.
37
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-37
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#CherkasovJ04
2006-05-17
Super paramagnetic clustering of protein sequences.
82
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-82
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#TetkoFRM05
2006-05-17
Estimates of statistical significance for comparison of individual positions in multiple sequence alignments.
106
2004
5
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-5-106
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi5.html#SadreyevG04
2006-05-17
TMB-Hunt: An amino acid composition based method to screen proteomes for beta-barrel transmembrane proteins.
56
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-56
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#GarrowAW05
2006-05-16
Molecular phylogeny of the kelch-repeat superfamily reveals an expansion of BTB/kelch proteins in animals.
42
2003
4
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-4-42
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi4.html#PragA03
2006-05-16
Correlating overrepresented upstream motifs to gene expression: a computational approach to regulatory element discovery in eukaryotes.
7
2002
3
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-3-7
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi3.html#CaselleCP02
2006-05-18
Regularized binormal ROC method in disease classificationusing microarray data.
253
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-253
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#MaSH06
2006-05-18
Detecting outliers when fitting data with nonlinear regression - a new method based on robust nonlinear regression and the false discovery rate.
123
2006
7
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-7-123
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi7.html#MotulskyB06
2006-05-17
<i>Flaviviridae</i>RNA polymerases.
255
2005
6
BMC Bioinformatics
http://dx.doi.org/10.1186/1471-2105-6-255
http://www.informatik.uni-trier.de/~ley/db/journals/bmcbi/bmcbi6.html#FerronBDC05